福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案8

上传人:住在****她 文档编号:319508596 上传时间:2022-06-29 格式:DOCX 页数:5 大小:18.17KB
返回 下载 相关 举报
福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案8_第1页
第1页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案8_第2页
第2页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案8_第3页
第3页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案8_第4页
第4页 / 共5页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案8_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案8》由会员分享,可在线阅读,更多相关《福建师范大学21春“电子信息工程”《EDA技术》离线作业(三)辅导答案8(5页珍藏版)》请在金锄头文库上搜索。

1、长风破浪会有时,直挂云帆济沧海。 她福建师范大学21春“电子信息工程”EDA技术离线作业(三)辅导答案一.综合考核(共50题)1.TOP-down设计一般分为哪几个层次()。A.系统级B.功能级C.门级D.开关级参考答案:ABCD2.有限状态机的复位分为两种:同步复位和异步复位。()A.正确B.错误参考答案:A3.HDL是一种用文本形式来描述和设计电路的语言。()A.正确B.错误参考答案:A4.状态机可以分为:米里型和摩尔型两类。()A.正确B.错误参考答案:A5.目前在数字系统的设计中,主要采用Bottom-UP设计为主。()A.正确B.错误参考答案:B6.JTAG是Joint Test A

2、ction Group,联合测试行动组的缩写。()A.正确B.错误参考答案:A7.Verilog HDL和VHDL目前还都不是IEEE标准。()A.正确B.错误参考答案:B8.布局布线为将综合生成的电路逻辑网表映射到具体的目标器件中实现,并产生最终的可下载文件的过程。()A.正确B.错误参考答案:A9.Verilog HDL支持循环语句。()A.正确B.错误参考答案:A10.Verilog HDL和 VHDL目前还都不是IEEE标准。()A.正确B.错误参考答案:B11.数字设计流程中采用原理图方式适合描述电路的连接关系核接口关系。()A.正确B.错误参考答案:A12.Verilog HDL支

3、持赋值语句。()A.正确B.错误参考答案:A13.PLD是一种全定制器件。()A.正确B.错误参考答案:B14.CPLD是Complex Programmable Logic Device,复杂可编程逻辑器件的缩写。()A.正确B.错误参考答案:A15.Verilog HDL不支持条件语句。()A.正确B.错误参考答案:B16.仿真器按对设计语言的不同处理方式分为两类:编译型仿真器和解释型仿真器。()A.正确B.错误参考答案:A17.时序仿真也叫后仿真。()A.正确B.错误参考答案:A18.状态机设计主要包含三个对象:当前状态,次状态和输出逻辑。()A.正确B.错误参考答案:A19.目前常用的

4、硬件描述语言为:()。A.VerilogB.VHDLC.VCD.VB参考答案:AB20.Verilog程序的基本设计单元是“模块”(module)。()A.正确B.错误参考答案:A21.Verilog HDL中整数型常量是不可以综合的。()A.正确B.错误参考答案:B22.综合有哪几种形式()。A.RTLB.逻辑综合C.将逻辑门表示转换到版图表示参考答案:ABC23.用状态机进行设计具有速度快、结构简单、可靠性高等优点。()A.正确B.错误参考答案:A24.Verilog HDL数据类型是用来表示数字电路中的物理连线、数据存储和传输单元等物理量的。()A.正确B.错误参考答案:A25.Synp

5、lify是一种FPGA/CPLD的逻辑综合工具。()A.正确B.错误参考答案:A26.目前常用的硬件描述语言为:Verilog HDL和VHDL。()A.正确B.错误参考答案:A27.ASIC电路特点描述正确的是()。A.周期长B.投入高C.功耗低D.省面积参考答案:ABCD28.在IC设计领域中,IP核一般完成某种功能的设计模块。()A.正确B.错误参考答案:A29.Quartus II是Xilinx的FPGA/CPLD的集成开发工具。()A.正确B.错误参考答案:B30.SOC是System On Chip,芯片系统的缩写。()A.正确B.错误参考答案:A31.仿真分为功能仿真和时序仿真。

6、()A.正确B.错误参考答案:A32.IP是Intellectual Property的缩写。()A.正确B.错误参考答案:A33.下面哪些是专业提供PLD器件厂商()。A.XilinxB.AlteraC.LatticeD.Micsoftware参考答案:ABC34.EDA技术发展阶段描述正确的是()。A.CAD阶段B.CAE阶段C.EDA阶段D.以上都不对参考答案:ABC35.PLD器件的设计往往采用层次化的设计方法,分模块,分层次地进行设计描述。()A.正确B.错误参考答案:A36.混合仿真器就是能同时支持Verilog和VHDL的仿真器。()A.正确B.错误参考答案:A37.CAD是Co

7、mputer Aided Design,计算机辅助设计的缩写。()A.正确B.错误参考答案:A38.Verilog语言即适合可综合的电路设计,也可胜任电路与系统的仿真。()A.正确B.错误参考答案:A39.FPGA是Field Programmable Gate Array,现场可编程门阵列的缩写。()A.正确B.错误参考答案:A40.ASIC是专用集成电路的缩写。()A.正确B.错误参考答案:A41.绝大多数的FPGA器件都基于SRAM查找表结构实现。()A.正确B.错误参考答案:A42.Verilog HDL不支持逻辑运算符。()A.正确B.错误参考答案:B43.Verilog HDL支持

8、条件运算符。()A.正确B.错误参考答案:A44.按照处理的HDL语言类型,仿真器可以分为()。A.Verilog HDL仿真器B.VHDL HDL仿真器C.混合仿真器参考答案:ABC45.SPLD器件分为几类()。A.PROMB.PLAC.PALD.GAL参考答案:ABCD46.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。A.设计输入B.综合C.布局布线D.仿真和编程参考答案:ABCD47.衡量仿真器性能的重要指标有哪些()。A.仿真速度B.仿真的准确性C.仿真的易用性参考答案:ABC48.基于EDA技术的设计中,通常有两种设计思路()。A.自顶向下B.自底向上C.自前向后D.自后向前参考答案:AB49.Verilog HDL中assign为持续赋值语句。()A.正确B.错误参考答案:A50.SOC是指把一个完整的系统集成在一个芯片上。()A.正确B.错误参考答案:A

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 习题/试题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号