文档详情

EDA实验总结报告

灯火****19
实名认证
店铺
DOC
1,007.50KB
约23页
文档ID:150041628
EDA实验总结报告_第1页
1/23

数字EDA实验 实验报告学院: 计算机科学与工程学院 专业: 通信工程 学号: 0941903207 姓名: 薛蕾 指导老师: 钱强 实验一 四选一数据选择器的设计一、实验目的1、熟悉Quartus II软件的使用2、了解数据选择器的工作原理3、熟悉EDA开发的基本流程二、实验原理及内容实验原理数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路,可以采用数据选择器进行选择再对该路信号加以利用从多路输入信号中选择其中一路进行输出的电路称为数据选择器或:在地址信号控制下,从多路输入信息中选择其中的某一路信息作为输出的电路称为数据选择器数据选择器又叫多路选择器,简称MUX4选1数据选择器:(1)原理框图:如右图D0 、D1、D2、D3 :输入数据A1 、A0 :地址变量由地址码决定从4路输入中选择哪1路输出2)真值表如下图:(3)逻辑图 数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。

在应用中,设置一定的选择标志信号状态即可得到相应的某一路信号这就是数据选择器的实现原理三.实验内容1、分别采用原理图和VHDL语言的形式设计4选1数据选择器2、对所涉及的电路进行编译及正确的仿真电路图: 四、实验程序library ieee;use ieee.std_Logic_1164.all;ENTITY mux4 IS PORT( a0, a1, a2, a3 :IN STD_LOGIC; s :IN STD_LOGIC_VECTOR (1 DOWNTO 0); y :OUT STD_LOGIC );END mux4;ARCHITECTURE archmux OF mux4 IS BEGINy <= a0 WHEN s = "00" else --当s=00时,y=a0a1 WHEN s = "01" else --当s=01时,y=a1a2 WHEN s = "10" else --当s=10时,y=a2a3; --当s取其它值时,y=a2END archmux;五、运行结果 六.实验总结真值表分析: 当js=0时,a1,a0取00,01,10,11时,分别可取d0,d1,d2,d3.实验二 血型配对器的设计一、实验目的1、进一步熟悉Quartus II软件的使用。

2、掌握简单组合逻辑电路的设计方法与功能仿真技巧3、进一步学习Quartus II中基于原理图设计的流程二、实验原理及内容实验原理人类有O、A、B、AB 4种基本血型,输血者与受血者的血型必须符合图示原则设计一血型配对电路,用以检测输血者与受血者之间的血型关系是否符合,如果符合,输出为1,否则为0已知: AB血型是万能受血者,O血型是万能献血者!如果要输血给O型血,那么可以的血型是O型!如果要输血给A型血,那么可以的血型是A,O型!如果要输血给B型血,那么可以的血型是B,O型!如果要输血给AB型血,那么可以的血型是A,B,AB,O型! OOAABBABAB受血者输血者三.实验内容1、用VHDL语言编写程序实现血型配对器的功能LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY Vxuexing ISPORT(P,Q,R,S:IN STD_LOGIC;F:OUT STD_LOGIC);END Vxuexing;ARCHITECTURE A OF Vxuexing ISBEGIN F<=((NOT P)AND(NOT Q))OR(R AND S)OR ((NOT P)AND S)OR((NOT Q)AND R);END A;2、对所编写的电路进行编译及正确的仿真。

实验分析真值表P,Q表示输血者的血型;R,S,表示受血者的血型当两者符合血型配合原则时,F=1,否则为0.四、运行结果五、实验总结本实验给出了四种不同的血型编码,PQ(1,1),RS(1,1)表示AB型血,P,Q(1,0),RS(1,0)表示B型血,PQ(0,1),RS(0,1)表示A型血,PQ(0,0),RS(0,0)表示O型血根据真值表,并根据实验的原理图,画出电路图并进行连接实验三 简单数字钟的设计一、实验目的1、了解数字钟的工作原理2、进一步学习Quartus II中基于VHDL设计的流程3、掌握VHDL编写中的一些小技巧4、掌握简单时序逻辑电路的设计方法与功能仿真技巧二、实验原理及内容实验原理简单数字钟应该具有显示时-分-秒的功能首先要知道钟表的工作机理,整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转三.实验内容1、用原理图的方式编写一个12/24进制的计数器,并创建为SYMBOL文件2、用VHDL的方式编写一个60进制的计数器,并创建为SYMBOL文件3、创建顶层文件调用已编写的SYMBOL文件,设计简单的数字钟电路。

2、对所编写的电路进行编译及正确的仿真二十四进制VHDLLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT24 IS PORT( CP, EN, Rd, LD :IN STD_LOGIC; D :IN STD_LOGIC_VECTOR(5 DOWNTO 0); Co :OUT STD_LOGIC;Q :OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END CNT24;ARCHITECTURE STR OF CNT24 IS SIGNAL QN : STD_LOGIC_VECTOR(5 DOWNTO 0 ) ; BEGIN Co<= 1WHEN (QN = "010111"AND EN=1) ELSE 0;PROCESS (CP, RD) BEGIN IF (Rd =0) THEN QN<= "000000"; ELSIF (CPEVENT AND CP=1) THEN IF (LD=0) THEN QN <= D; ELSIF (EN=1) THEN QN <= QN+1; END IF; END IF; END PROCESS;Q <= QN;END STR ;六十进制VHDLLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY jsq60 ISPORT(en,rd,cp :IN STD_LOGIC; qh:buffer STD_LOGIC_VECTOR(3 DOWNTO 0); ql :buffer STD_LOGIC_VECTOR(3 DOWNTO 0); Co :OUT STD_LOGIC);END jsq60;ARCHITECTURE b OF jsq60 ISBEGINCo<=1when(qh="0101"and ql="1001" and en=1)else0;PROCESS (cp,rd) BEGINIF (rd=0) THENqh<="0000";ql<="0000";ELSIF (cpEVENT AND cp=1) THEN IF (en=1) THEN IF (ql=9) THEN ql<="0000"; IF (qh=5) THEN qh<="0000"; ELSE qh<=qh+1; end if; else ql<=ql+1; end if; end if; END IF;END PROCESS;END b;原理图四、运行结果24进制60进制时钟仿真结果五、实验总结此设计问题可分为主控电路,计数器模块和扫描显示三大部分,计数器在之前的学习中已经非常熟悉,只要掌握60,12进制的技术规律,用同步或异步计数器都可以实现。

二扫描电路我们学过两种驱动方式:BCD码驱动方式和直接驱动方式实验四 简单交通灯的设计一、实验目的1、了解交通灯的亮灭规律2、了解交通灯控制器的工作原理3、进一步熟悉VHDL语言编程,了解实际设计中的优化方案二、实验原理及内容实验原理交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车子如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况要完成本实验,首先必须了解交通路灯的亮灭规律依人们的交通常规,“红灯停,绿灯行,黄灯提醒”其交通灯的亮灭规律为:初始态是两个路口的红灯全亮,之后东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁闪烁若干次后,再切换到东西路口方向,重复上述过程三.实验内容1、用VHDL的方式编写一个简单的交通控制灯电路2、对所编写的电路进行编译及正确的仿真程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity traffic isport(clk,enb : in std_logic; 。

下载提示
相似文档
正为您匹配相似的精品文档
相关文档