85TLC5510接口电路及程序设计ppt课件

上传人:大米 文档编号:592512028 上传时间:2024-09-21 格式:PPT 页数:11 大小:144.50KB
返回 下载 相关 举报
85TLC5510接口电路及程序设计ppt课件_第1页
第1页 / 共11页
85TLC5510接口电路及程序设计ppt课件_第2页
第2页 / 共11页
85TLC5510接口电路及程序设计ppt课件_第3页
第3页 / 共11页
85TLC5510接口电路及程序设计ppt课件_第4页
第4页 / 共11页
85TLC5510接口电路及程序设计ppt课件_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《85TLC5510接口电路及程序设计ppt课件》由会员分享,可在线阅读,更多相关《85TLC5510接口电路及程序设计ppt课件(11页珍藏版)》请在金锄头文库上搜索。

1、8.5 TLC55108.5 TLC5510接口电路及程序设计接口电路及程序设计 TLC5510与FPGA接口电路 nTLC5510是CMOS、8位、20MSPS模拟/数字转换器ADC),它利用了半闪速结构。TLC5510用单5V电源工作,消耗功率100mW(典型值),具有内部采样和保持电路,具有高阻抗方式的并行口以及内部基准电阻内部基准电阻使用VDDA可以产生标准的2V满度转换范围)。n与闪速转换器flashconverters相比,半闪速结构减少了功率损耗和晶片尺寸。通过在2步过程2-stepprocess中实现转换,可大大减少比较器的数目。转换数据的等待时间为2.5个时钟。FPGA与TL

2、C5510的接口电路图TLC5510与FPGA接口电路图的注释nFPGA_IO1提供TLC5510工作时钟。nFPGA_IO29接收TLC5510的采样数据。nFPGA_IO10为TLC5510提供输出使能信号OE,低电平有效。元件参数C1C70.01FC8C1447FFB1、FB2、FB3铁氧体磁环左表为TLC5510与FPGA接口应用电路元器件。TLC5510 VHDL采样控制程序设计 TLC5510时序 nTLC5510是以流水线的工作方式进行工作的。n它在每一个CLK时钟周期都启动一次采样,完成一次采样;每次启动采样是在CLK的下降沿进行,不过采样转换结果的输出却在2.5CLK周期后,

3、如果计算上输出延时td(D),从采样到输出需经2.5*CLK+td(D)。对于需要设计的采样控制器,可以认为,每加一个采样CLK周期,A/D就输出一个采样数据。n可以通过对FPGA系统时钟进行分频得到一个与TLC5510的工作周期相一致的CLK1送入TLC5510,就可以对TLC5510实现控制TLC5510时序图 TLC5510 VHDL采样控制程序 TLC5510采样控制程序电路符号 TLC5510 VHDL采样控制程序nlibraryieee;nuseieee.std_logic_1164.all;nentitytlc5510isnport(clk:instd_logic;-系统时钟no

4、e:outstd_logic;-TLC5510的输出使能/OEnclk1:outstd_logic;-TLC5510的转换时钟ndin:instd_logic_vector(7downto0);-来自TLC5510的采样数据ndout:outstd_logic_vector(7downto0);-FPGA数据输出nendtlc5510;narchitecturebehavoftlc5510isnsignalq:integerrange3downto0;nbegin接下页nprocess(clk)-此进程中,把CLK进行4分频,得到TLC5510的转换时钟nbeginnifclkeventandclk=1thennifq=3thenq=0;nelseq=2thenclk1=1;-对系统CLK进行4分频nelseclk1=0;nendif;nendprocess;noe=0;-输出使能赋低电平ndout=din;-采样数据输出nendbehav;n

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号