计算机硬件结构及原理

上传人:pu****.1 文档编号:572203604 上传时间:2024-08-12 格式:PPT 页数:143 大小:6.05MB
返回 下载 相关 举报
计算机硬件结构及原理_第1页
第1页 / 共143页
计算机硬件结构及原理_第2页
第2页 / 共143页
计算机硬件结构及原理_第3页
第3页 / 共143页
计算机硬件结构及原理_第4页
第4页 / 共143页
计算机硬件结构及原理_第5页
第5页 / 共143页
点击查看更多>>
资源描述

《计算机硬件结构及原理》由会员分享,可在线阅读,更多相关《计算机硬件结构及原理(143页珍藏版)》请在金锄头文库上搜索。

1、计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社【学习目标学习目标】1.认识计算机内部结构,知道运算器、存储器、控制器及总线的结构及基本原理。知识点: 总线、数据总线、地址总线、控制总线、总线带宽、总线宽度 ALU、单总线结构、双总线结构、三总线结构定点运算器 RAM、ROM、PROM、EPROM、EEPROM、Cache程序计数器、指令寄存器、地址译码器、8086CPU2.掌握存储器的设计方法,理解控制器的组成及工作原理。能力要求: 能进行存储器的简

2、单设计。 熟记8086CPU的寄存器。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社【重点、难点重点、难点】1总线的作用及总线的工作原理。2ALU及定点运算器。3存储器扩展及存储技术实现。48086CPU。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社【内容框架】计算机硬件结构及原理计算机硬件结构及原理总线总线运算器运算器存储器存储器存储体系结构存储体系结构总线原理及三态门总线原理及三态门总线分类及总线标准总线分类及总线标准总线缓冲器总线缓冲器算术逻辑运算部件算术逻辑运算部件(ALU)定点运算器

3、定点运算器存储器分类存储器分类静态随机存储器静态随机存储器(SRAM)动态随机存储器动态随机存储器(DRAM)只读存储器只读存储器(ROM)存储器的层次结构存储器的层次结构高速缓冲存储器高速缓冲存储器(Cache)外存储器外存储器虚拟存储器虚拟存储器控制器控制器控制器的工作原理控制器的工作原理控制器的组成控制器的组成指令的执行方式指令的执行方式微程序控制器微程序控制器8086的内部结构的内部结构计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社总线(Bus):计算机系统各部件(模块)之间传送信息的公共通道,由若干条通信线和起驱动、隔离作用的三态门器

4、件组成,是微型计算机的重要组成部分。5.1.1 总线原理及三态门总线原理及三态门总线服务:以分时的方法为所连接的系统中的多个部件服务。总线模块主模块:具有控制功能的模块。如CPU或DMAC。从属模块:受控的模块。如存储器或I/O接口。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社总线与三态门的连接图:D7D1D0EN1EN1EN1计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社总线的应用:微型计算机系统结构。总线的优点:(1)总线结构,使系统中各功能部件间的相互关系转变为各部件面向总线的单一关系。

5、一个部件(功能板卡)只要符合总线标准,就可以连接到采用这种总线标准的系统中。(2)总线标准化使微机系统成为一个开放的体系结构。简化了系统结构。便于采用模块结构设计方法,简化了软、硬件的设计。便于系统的扩充和升级。便于故障诊断和维修,同时也降低了成本。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社一、总线的操作过程一、总线的操作过程1.总线请求和仲裁阶段总线请求和仲裁阶段多主模块时,要使用总线的主模块向总线提出申请,由总线仲裁机构确定后,把下一个传输周期的总线使用权交给申请的主模块。2.寻址阶段寻址阶段获得总线控制权的主模块,通过地址总线发出要访

6、问的从属模块的地址及有关操作命令,通过译码选中被访问的从属模块,从而开始启动。3.数据传送阶段数据传送阶段主模块和从属模块进行数据交换。4.结束阶段结束阶段主、从模块的信息从总线上撤除,让出总线,以便其它模块继续使用。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社二、总线的通信方式二、总线的通信方式1同步传输也称为同步通信方式,总线上的各模块严格地在时钟控制下工作的方式。特点:主模块须按严格的时间标准发出地址信号、产生指令,从属模块按严格的时间标准读出数据或写入数据。主主模模块块从从模模块块CLK计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋

7、 宋维堂宋维堂 编编高等教育出版社高等教育出版社2半同步传输同步方式的一种改进,各模块基本上还是在时钟控制下统一动作,对于某些不能在规定时间内完成操作的慢速从属模块,可以请示延长操作时间。主主模模块块从从模模块块CLKwait/ready计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社3异步传输也称为应答方式。进行通信的主、从模块不受统一的时钟控制,而是采用“请求”和“应答”信号来协调传输过程。主主模模块块从从模模块块REQACK计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社三、总线的主要技术参数

8、三、总线的主要技术参数1总线带宽:单位时间内总线上可传送的数据量,单位为MBps。2总线位宽:总线能同时传送的数据位数,即总线宽度,如16位、32位、64位等。3总线的工作频率:总线的时钟频率,单位为MHz。三者的关系为:总线带宽(MBps)=(总线位宽/8)总线工作频率(MHz)计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.1.2总线分类及总线标准总线分类及总线标准一、总线的分类一、总线的分类1按传输信息的类型:数据总线、地址总线和控制总线。(1)数据总线DB(DataBus):传送数据信息,双向的三态总线,总线的位数通常与微处理器的字长

9、相一致。(2)地址总线AB(AddressBus):专门用来传送地址的,单向的三态总线。地址总线的位数决定了CPU可直接寻址的内存空间大小。若地址总线为n位,则可寻址空间为2n字节。(3)控制总线CB(ControlBus):传送控制信号、时序信号和状态信息等。定向的三态总线,一般以双向线表示。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2按位置和应用场合:分为四级。(1)片内总线:位于微处理器或LSI/VLSI芯片内部,用于芯片内部各部件间的互连

10、及信息传送。大多采用单总线结构。(2)片间总线:又称元件级总线、局部总线(LocalBus)、片总线。用于微机主板、单板机以及一些插件板、卡间的相互连接。(3)内总线:又称系统总线或板级总线。用于连接微机系统内各功能部件,是微机系统中最重要的总线。系统总线包含数据总线DB、地址总线AB和控制总线CB。(4)外总线:也称通信总线,用于两个系统之间的连接与通信。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社二、总线标准二、总线标准1.总线标准:由权威机构

11、规定的,芯片之间、插件板之间及系统之间,通过总线进行连接和传输信息时,应遵守的一些协议与规范,包括硬件和软件两个方面。如总线插槽/插座的尺寸、引脚、信号线定义、总线工作时钟频率、总线仲裁与配置机构、电气规范和实施总线协议的驱动与管理程序等。2.总线标准化:各计算机零部件生产厂商面向总线标准生产计算机零部件,符合某一总线标准的部件都可以在相应标准的插件板上使用,可方便用户进行系统功能的扩充或升级。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社三、典型总线三、典型总线1PCI总线(PeripheralComponentInterconnect,外围

12、部件互连总线)特点:(1)高性能。总线宽度为32/64位,初始工作频率为33MHz,最大传输率为132/264MB/s。(2)兼容性好,易于发展。与ISA,VL等总线兼容,由于PCI总线与时钟频率无关,所以可以用不同型号的CPU。(3)自动配置功能。即插即用-PnP(PlugandPlay)技术,自动配置。(4)规范。对通信协议、时序关系、负载、电气特性和机械特性都作了严格的规定。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2AGP总线(AcceleratedGraphicsPort,加速图形端口)(1)传输速率:视频信号的传输速率可以达26

13、6MB/s(1模式),最高可达1066MB/s(4模式)。(2)目的:提高高档PC机的图形,尤其是3D图形的处理能力。(3)原理:使3D图形数据越过PCI总线,直接送入显示子系统,从而减轻PCI总线的数据传输负担。(4)实质:严格说来,AGP不能称为总线,因为它是点对点连接,即连接控制芯片和AGP显示卡。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(5)性能特点对内存的读写操作实行先进的流水线处理。AGP总线上的地址信号与数据信号分离。AGP能够扩展地直接使用系统内存。AGP比PCI多了一种操作模式直接内存执行DIME。(6)规范计算机硬件技

14、术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.1.3总线缓冲器总线缓冲器总线使用要求总线使用要求:同一时刻只允许一个部件向总线发送数据,允许一个部件或多个部件同时接收数据。总线控制总线控制:三态缓冲器数据通过三态缓冲器再送入总线,当三态缓冲器处于低阻状态(输出端为高电平或低电平状态)时,器件挂在总线上;当三态缓冲器处于高阻状态(开路状态、浮空状态)时,器件与总线逻辑上“脱开”。三态缓冲器类型三态缓冲器类型:单向:部件只向总线发送信息;双向:部件既向总线发送数据,又从总线上接收数据。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等

15、教育出版社高等教育出版社CPU的重要组成部分,计算机中用来进行算术逻辑运算的部件。核心是算术逻辑运算部件ALU。5.2.1 算术逻辑运算部件(算术逻辑运算部件(ALU, ArithmeticLogicalUnit)运算器的核心,是用来完成算术和逻辑运算的逻辑单元。(1)构成:加法器:基本单元。逻辑运算功能部件:完成逻辑运算。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社ALU的逻辑框图加法器逻辑运算功能部件控制信号控制信号AiALUALU的逻辑符号BiCi-1CiFiAiBiXiYiCi-1CiFi(2)原理:在控制信号的作用下,使输入信号Ai

16、、Bi经过逻辑运算功能部件之后产生新的信号Xi和Yi,然后与进位信号Ci-1一起送入加法器进行全加运算。不同的控制信号可以产生不同的信号Xi和Yi,从而达到实现多种算术运算和逻辑运算的目的。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.2.3 定点运算器定点运算器(1)组成)组成:ALU、阵列乘除器、通用或专用寄存器组、三态缓冲区以及内部总线等逻辑部件组成。ALU:核心部件,实现算术逻辑运算;阵列乘除器:实现乘法和除法的并行运算;寄存器组:存放操作数、中间运算结果以及运算结果的状态;三态缓冲区:实现对数据的缓存。(2)类型)类型:定点运算器

17、、浮点运算器。定点运算器是进行定点数运算的部件。由于定点数的小数点位置固定,因此参与运算的操作数不需重新定位,可直接进行算术运算。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(3)定点运算器的结构:单总线结构、双总线结构、三总线结构 单总线结构的运算器单总线结构的运算器所有的部件都连接到同一条总线上,同一时间只能有一个数据通过总线在各部件之间传输。进行一次双操作数的运算,须分两次来完成操作数向ALU的输入,因此需要A、B两个锁存器来暂时存放将要参加运算的操作数。控制简单,速度比较慢。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维

18、堂 编编高等教育出版社高等教育出版社 双总线结构的运算器双总线结构的运算器两条总线来传送操作数,因此两个操作数可同时送至ALU进行运算。运算结果不能直接送到总线上,须通过一个缓冲器再送到总线上。双总线结构的运算器在速度上要比单总线的快。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社 三总线结构的运算器三总线结构的运算器采用两条总线来传送操作数,一条总线传送运算结果。只要ALU的运算速度足够快,算术逻辑运算就可以一步完成。总线旁路器可把不需要通过ALU进行运算的数据直接输出。运算速度最快。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋

19、维堂 编编高等教育出版社高等教育出版社5.3.1 存储器分类存储器分类一、按存储介质分类1半导体存储器以二极管、晶体管或MOS管等半导体器件作为存储元件。如内存。2磁存储器采用磁性材料作为存储介质。如磁芯、磁带、磁盘等。常用的磁存储器是磁带、磁盘等磁表面存储器,如硬盘、软盘。3光存储器采用激光技术在记录介质上进行读写的存储器。如只读光盘(CD-ROM)、可读写光盘(MO)等。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社二、按存取方式分类随机存储器RAM、只读存储器ROM、串行访问存储器SAM1随机存储器随机存储器RAM(Random Acce

20、ss Memory)按地址存取,存取时间与存储单元的物理位置无关。可分为双极型和MOS型。双极型存储器:存取速度快,功耗大,集成度小,一般作为容量较小的高速缓冲存储器。MOS型存储器:按MOS工艺制成,分为静态存储器(SRAM)和动态存储器(DRAM)。动态存储器的存储内容需定时刷新。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2只读存储器只读存储器ROM(Read Only Memory)按制作工艺和使用特性可分为:固定只读存储器(ROM)、可编程只读存储器(PROM)、可擦除可编程只读存储器(EPROM)和电擦除可编程只读存储器(EEPR

21、OM)。 ROM:内容一般是在生产时事先写入,计算机工作时只能读出,而不能写入。 PROM:内容是在使用时由用户写入的,一旦写入不能更改。 EPROM和EEPROM:可进行多次写入操作。3串行访问存储器串行访问存储器SAM(Sequential Access Memory)读写操作需按物理位置的先后顺序寻找地址。如磁带。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社三、按在计算机中的作用分类主存储器、辅助存储器、缓冲存储器、闪速存储器(FlashMemory)1. 主存储器主存储器简称主存、内存,通过内存总线与CPU联接,用来存放正在执行的程序

22、和处理的数据。可以和CPU直接交换信息。主要类型:(1)FPM:快速页面模式内存(2)EDO:扩展数据输出内存(3)DDRSDRAM:同步双倍速率传输动态随机存储器,主流内存规范。(4)RDRAM:Rambus(开发公司名字),运行频率比SDRAM和DDRSDRAM要高了许多,从300MHz到600MHz。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社RDRAM的外观图DDR SDRAM的外观图计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2辅助存储器辅存、外存,需通过专门的接口电路与主机联接,

23、不能和CPU直接交换信息,用来存放暂不执行或还不被处理的程序或数据。3缓冲存储器缓冲存储器简称缓存(Cache),在两个速度不同的部件,如CPU与主存之间,以解决数据传送速度不匹配问题。4闪速存储器(FlashMemory)快擦写存储器,可在不加电的情况下长期保存信息,具有非易失性,能在线进行快速擦除与重写,兼具有EEPROM和SRAM的优点。其集成度与位价格接近EPROM,是代替EPROM和EEPROM的理想器件,也是未来小型磁盘的替代品。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社存储器内部存储器(内存、主存)外部存储器(外存、辅存)缓冲

24、存储器闪速存储器随机存储器(RAM)只读存储器(ROM)磁盘磁带光盘硬盘软盘MOS型静态(SRAM)动态(DRAM)掩模型ROM(MROM)可编程ROM(PROM)可擦除PROM(EPROM)电可擦除PROM(EEPROM)双极型计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.3.2 静态随机存储器(静态随机存储器(SRAM)一、基本存储单元电路双稳态触发器:VT1VT4构成,A高B低,触发器状态为1,反之为0。VT5、VT6:门控管。当行选择线X为高电平时,VT5、VT6管导通,A点和B点分别与内部数据线D和 (也称位线)接通。电路图计算机

25、硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社VT7、VT8:门控管。当列选择线Y为高电平时,VT7、VT8管通,内部数据线与外部数据线接通,该单元可以读/写。逻辑符号计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(1)读出:X选择线与Y选择线均为高电平,VT5 VT8管均导通,A点与D接通,B点与 接通,D、 与外部数据线接通,若原来存入的是1,则D为高电平; 为低电平,二者分别通过VT7、VT8管输出到外部数据线,即读出1;相反,则D为低电平, 为高电平,二者分别通过VT7、VT8管输出到外部数据

26、线,即读出0。读出信息时,双稳态触发器的状态不受影响,故为非破坏性读出。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(2)写入:数据送到外部数据线上。若该单元被选中,则X选择线与Y选择线为高电平,VT5 VT8管均导通,外部数据分别通过VT7、VT5管和VT8、VT6管送到触发器。若写1,则VT2导通, VT1截止, A高B低。若写0,则VT1 导通, VT2截止, A低B高。写入结束,状态保持;若掉电后又恢复供电,双稳态触发器发生状态竞争,即掉电前写入的信息不复存在。因此SRAM被称为易失性存储器。计算机硬件技术基础计算机硬件技术基础李桂秋

27、李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社二、静态RAM的组成由存储体、地址译码电路、读/写驱动电路、控制电路、地址寄存器和数据缓冲器组成。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社1存储体存储信息的实体,由若干个能存储一位二进制数的位存储单元构成。上图中的存储体是一个由6464=4096个六管静态存储电路组成的存储矩阵。2译码电路对来自CPU的地址码进行译码,以选择地址码所指定的存储单元。有单译码方式和双译码方式。3I/O电路读/写信息。I/O电路还有对读出的信息进行放大的作用。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋

28、 宋维堂宋维堂 编编高等教育出版社高等教育出版社5地址寄存器接收来自CPU的地址码,继而送到行、列地址译码器进行地址译码。6数据缓冲器控制存储器与系统数据总线之间的数据输入/输出。 4控制电路对存储芯片进行选择及对选中的存储单元进行读/写控制。芯片选择是通过片选信号控制完成的,一般由地址码的高位译码产生。对于选中的芯片的存储单元的读/写操作,由读/写控制信号R/确定,高电平信号为读操作,低电平信号为写操作。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社三、静态RAM的读/写过程1读出过程 (1)地址码A0A11加到RAM芯片的地址输入端,经X与

29、Y地址译码器译码,产生行选与列选信号,选中某一存储单元,该单元中存储的代码,经一定时间,出现在I/O电路的输入端。I/O电路对读出的信号进行放大、整形,送至输出缓冲寄存器。缓冲寄存器一般具有三态控制功能,在门控信号无效时,所存数据还不能送到数据总线DB上。(2)在送上地址码的同时,还要送上读/写控制信号(R或、)和片选信号()。读出时,使R=1,=0,这时,输出缓冲寄存器的三态门将被打开,所存信息送至DB上。于是,存储单元中的信息被读出。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2写入过程(1)地址码加在RAM芯片的地址输入端,选中相应的存

30、储单元,使其可以进行写操作。(2)将要写入的数据放在DB上。(3)加上片选信号=0及写入信号R0。这两个有效控制信号打开三态门使DB上的数据进入输入电路,送到存储单元的位线上,从而写入该存储单元。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社四、静态RAM芯片的扩展每一个RAM芯片的存储容量有限,实际的存储器需由若干个芯片进行相应的连接、扩展而成的。存储器扩展分为3种情况: 字扩展:存储芯片容量不能满足存储器的要求。如用2K8b的存储芯片构成16K8b的存储器。 位扩展:存储芯片的位数不能满足存储器的要求。如用8K8b的存储芯片构成8K16b的

31、存储器。 字、位同时扩展:存储芯片的容量和位数都不能满足存储器的要求。如用2K4b的存储芯片构成8K8b的存储器。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社存储器扩展的基本步骤:1根据存储器容量和芯片容量,确定应采用的芯片数。芯片数=存储器容量/芯片容量。2根据芯片的引脚,确定存储芯片与CPU的连接方式,包括数据线、地址线、读/写信号、片选信号的连接。连接的原则:地址线对应连。位扩展时,数据线并行连接;字扩展时,数据线对应连接。读/写信号对应连接。位扩展时,各芯片共用片选信号;字扩展时各芯片分用片选信号。片选信号可通地址码高位部分译码获得。

32、3地址分配,确定各芯片的存储地址范围。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社【例5.1】用6116芯片构成8K8b的存储器。分析:6116芯片的地址线为11根,数据线位数为8根,为2K8b的存储芯片。构成8K8b的存储器需进行字扩展。6116芯片的读控制信号为,写控制信号为,片选信号为,三个控制信号都为低电平有效。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社解:(1)确定芯片数。芯片数=8K8b/2K8b=4片(2)确定与CPU的连接。 各芯片的8个数据引脚D0D7对应连于数据总线的D

33、0D7上。 地址引脚A0A10连接到地址总线A0A10上,由地址码的高位A12、A11通过2线-4线译码器译码得到4个不同的低电平片选信号送到各芯片的片选端,读/写信号 和 直接与CPU的读信号 和写信号 连接。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社第一片2K第二片2K第三片2K第四片2KA12A11A10A9A8A7A6A5A4A3A2A1A0地址范围(3)存储地址分配00000000000000000H001111111111107FFH

34、01000000000000800H01111111111110FFFH10000000000001000H101111111111117FFH11000000000001800H11111111111111FFFH计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社【例5.2】用2114芯片构成1K8b的存储器。分析:2114为1K8b的存储芯片。构成1K8b的存储器需进行位扩展。为读/写控制引脚,低电平信号控制写操作,高电平信号控制读操作。片选信号为。解:(1)确定芯片数。芯片数=1K8b/1K4b=2片计算机硬件技术基础计算机硬件技术基础李桂秋

35、李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(2)确定与CPU的连接。两个芯片的4个数据引脚I/O1I/O4应分别连于数据总线的低4位D0D3和高4位D4D7上;地址引脚A0A9连接到地址总线A0A9上,2个芯片共用一个片选信号,可利用CPU的A10地址通过反相器提供。读/写复用信号接到CPU的引脚上。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(3)存储地址分配。1KA10A9A8A7A6A5A4A3A2A1A0地址范围000000000000000H0111111111103FFH计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋

36、 宋维堂宋维堂 编编高等教育出版社高等教育出版社【例5.3】用2114芯片构成2K8b的存储器。分析:2114为1K4b的存储芯片,构成2K8b的存储器,需字、位同时扩展。解:(1)芯片数=2K8b/1K4b=4片(2)与CPU的连接。数据线:每组2片,每个芯片接4位。地址线:低10位进行片内地址选择,高2位进行片选。片选信号:字扩展部分分用不同的片选信号,位扩展部分共用相同的片选信号。读写信号:对应连接。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版

37、社第一组1K第 二 组1K(3)存储地址分配。A11A10A9A8A7A6A5A4A3A2A1A0地址范围0000000000000000H00111111111103FFH0100000000000400H01111111111107FFH常用的静态RAM芯片还有6264(8K8b)、62128(16K8b)、62256(32K8b)等。不同静态RAM的内部结构基本相同,只是不同容量其存储矩阵的排列结构不同。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.3.3 动态随机存储器(动态随机存储器(DRAM)一、DRAM基本存储电路1.结构:由

38、一个MOS管和一个电容组成2.原理:靠电容来存储信息的,电容充3.有电荷表示存储信息“1”,没有电荷表示4.存储信息“0”。当行、列选择线都为高电5.平时,存储元被选中,VT1、VT2管导通。 写操作写操作:写1,数据线上为高电平,对电容C充电;写0,数据线上为低电平,电容上的电荷很快被释放掉。列选择信号行选择信号刷新放大器数据线VT1VT2C计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社 读操作读操作:电容上的电荷被泄露掉,是一种破坏性读出,因此在读操作后要立即重新写入原有的信息,以保证所存储的信息不变。 刷新刷新:由于电容上存储的电荷总存在

39、着泄露,时间一长信息就会丢失,所以需要每隔一定时间(一般2ms)对电容进行一次充电,以补充泄漏掉的电荷,称为存储器“刷新”或“再生”。刷新操作是逐行进行的。3.优点:内部线路简单,集成度高,功耗小,价格较便宜。4.缺点:需要刷新电路,外部电路复杂。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社二、DRAM的结构特点1.由许多基本存储元排列组成的二维存储矩阵。2.为了保证足够高的集成度,减少芯片对外封装引脚数目和便于刷新,DRAM的结构具有两个特点:芯片一般都设计成位结构形式,即每个存储单元只有一个数据位,一个芯片上含有若干字,如8Klb、16K

40、lb、64Klb或256Klb等。芯片引脚上的地址线是复用的,地址总是分成行地址和列地址两部分,芯片内部设置有行地址锁存器和列地址锁存器。在对DRAM进行访问时,先由行地址选通信号把行地址打入行地址锁存器,随后再由列地址选通信号把列地址打入列地址锁存器,访问地址分两次打入,使DRAM芯片的对外地址线引脚大大减少,仅需与行地址相同即可。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社三、DRAM控制电路DRAM工作时,除了正常的读/写操作之外,还要定时刷新,因而需要设置专门的控制电路DRAM控制器来管理DRAM芯片的工作。DRAM控制器是CPU和D

41、RAM芯片之间的接口电路,它将CPU的信号变换成适合DRAM芯片的信号。CPU刷新地址计数器地址多路开关刷新定时器仲裁电路时序发生器DRAM地址总线地址读/写计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社 地址多路开关地址多路开关:把CPU输出的内存地址转换成行地址和列地址,在和信号的控制下,分两次送入DRAM芯片,实现行、列地址的分时打入。刷新定时器刷新定时器:控制DRAM芯片的刷新定时时间。 刷新地址计数器刷新地址计数器:提供逐行刷新时的刷新地址。 仲裁电路仲裁电路:当来自CPU的读写请求和来自刷新定时器的刷新请求同时到来时,由仲裁电路对二

42、者的优先权进行裁决。 时序发生器时序发生器:产生行地址选通信号、列地址选通信号、写信号。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.3.4 只读存储器(只读存储器(ROM)一、掩模式只读存储器(MROM,MaskedROM)由生产厂家根据用户提供的程序和数据,先把要存储的信息制作成掩模,再经过两次光刻而制成的,一旦制成后,信息就不能更改。适于大批量生产,成本低,但灵活性差。存储元可用二极管、MOS管、双极型晶体管构成。MOS型只读存储器功耗小、速度慢,适用于一般微机系统;而双极型只读存储器功耗大、速度快,适用于速度要求较高的微机系统。计算

43、机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社44位位MOS型只读存储器型只读存储器:地址码A1A0译码后输出4条字选择线,分别选中4个单元;每个单元有4位数据(D3D0)输出。在行和列的交叉点上有MOS管的存储单元的信息是1,没有MOS管的存储单元信息为0。地址译码器UDD单元0单元1单元2单元3D3D2D1D000011011A1A0单元0的内容为0100单元1的内容为1001单元2的内容为0111单元3的内容为1010计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社二、可编程只读存储器(PROM

44、,ProgrammableROM)出厂时是空白的,允许用户可以根据自己的需要确定ROM中的内容。有两种常见的结构:用二极管作为存储元的结破坏型和用双极型晶体管作为存储元的熔丝型。双极型晶体管组成的熔丝型双极型晶体管组成的熔丝型PROM:每个晶体管的发射极上串一个熔丝,出厂时所有管子上的熔丝是全部接通的,表示存储的信息全部为1。用户编程时,对需要写0的位通过较大的电流使熔丝熔断,即该位存入了信息0,熔丝未被熔断的位仍为1。熔丝一旦烧断再无法恢复,所以PROM只允许编程一次。熔丝行选择线Di列选择线UDD计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版

45、社结构原理结构原理:存储元由一个浮栅管和一个MOS管串接起来。由于栅极周围被二氧化硅绝缘层包围成为浮栅,所以原始状态的浮栅不带电荷,浮栅管不导通,位线上是高电平,即存储的信息为1。当在浮栅管的漏极D和源极S之间加上25 V的高电压和编程脉冲时,D、S间被瞬时雪崩击穿,大量电子通过绝缘层注入到浮栅,使浮栅管处于导通状态,即存储的信息为0。由于浮栅被绝缘层包围,注入的电子不会泄露,保存的信息也就不会丢失。三、可擦除可编程只读存储器(EPROM,ErasablePROM)UDD选择线Di浮栅管计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社擦除原理擦除

46、原理:当用紫外线照射EPROM芯片上的石英玻璃窗口时,所有存储元电路中浮栅管浮栅上的电荷会形成光电流泄露,使电路又恢复成原始状态,从而擦除了所有信息,擦除后的EPROM还可以重新编程。优点优点:可以进行多次编程。缺点缺点:不能在线编程。擦除重写时,必须从系统中拆下来,在紫外线灯下照射20分钟,擦除原有信息后,再用专门的编程器重新写入新的程序或数据。EPROM芯片中的信息不能实现部分内容的修改。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社四、电擦除可编程只读存储器(E2PROM,ElectricallyEPROM)采用加高电压的方法来擦除芯片中

47、的原有信息。可在线编程和擦除。擦除和编程以字节为单位,可方便地改写其中的任一部分内容。既可以在断电的情况下保持信息不丢失,又可以像RAM那样随机地进行改写,因而兼有RAM和ROM的功能特点,使用起来十分方便。EPROM并不能用来代替RAM,它在正常工作方式中一般是只读不写的,擦除一个单元虽然比EPROM要快得多,但与RAM的写入速度仍相差甚远。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社五、闪速存储器(FlashMemory)在EPROM的基础上增加了电擦除和可重复编程能力的设计,采用电来擦除,但只能擦除整个区或整个器件。比E2PROM的成本

48、更低,密度和可靠性更高。目前价格已低于DRAM,容量已接近于DRAM。是唯一具有大容量、非易失性、低价格、可在线改写和高速度等特性的存储器。现阶段,它除了取代EPROM和E2PROM来存放主板和显卡的BIOS以外,还广泛应用于便携式计算机的PC卡存储器,成为代替磁盘的一种理想工具。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社存储性能要求存储性能要求存储容量要大存取速度要快成本要低。实际矛盾实际矛盾半导体存储器存取速度较快,但容量有限、价格高;磁盘、光盘存储器存储容量大,但存取速度慢;解决办法解决办法存储体系结构(存储系统)存储体系结构(存储系

49、统)综合利用各种存储器的优势,将两个或两个以上速度、容量、价格各不相同的存储器通过软件、硬件或软硬件相结合的方法连接起来成为一个系统。存储系统的速度与其中速度最快的存储器接近,存储容量与其中存储容量最大的存储器相等,单位容量的价格接近最便宜的存储器的价格。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.4.1 存储器的层次结构存储器的层次结构Cache主存辅存位价越来越低容量越来越大现代的计算机系统几乎都具有这两个以上存储层次,构成了缓存、主存、辅存三级存储系统。缓存主存:速度接近于缓存,高于主存;容量和位价却接近于主存。主存辅存:速度接近于

50、主存,容量接近于辅存,平均位价也接近于辅存位价。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社位于CPU和主存之间的一种高速小容量存储器,可解决CPU与主存之间的速度不匹配问题。Cache中的内容是主存部分内容的副本,它能高速地向CPU提供指令和数据,从而加快了程序的执行速度。通常由高速的SRAM组成,且为了追求高速,其全部功能由硬件实现,对程序员是透明的。5.4.2 高速缓冲存储器(高速缓冲存储器(Cache) 理论依据理论依据程序访问的局部性原理程序访问的局部性原理程序运行时,在一个较短的时间间隔内,访问地址往往集中在存储器逻辑地址空间的很

51、小范围内。这种对局部范围的存储器地址频繁访问,而对此范围以外的地址则访问较少的现象,称为程序访问的局部性原理。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社CPUCache主存辅存缓存主存层次主存辅存层次辅助硬件辅助软、硬件 原理:在主存和CPU之间设置一个高速的容量相对较小的存储器Cache,根据一定算法将当前被频繁访问的指令或数据从内存读到Cache中,当CPU处理数据时,首先到Cache中查找所要读取的数据或指令,如果找到则直接读取,称为命中。如果没有找到,再到内存中查找所要读取的数据。命中率命中率:CPU访问数据时,能在Cache中直接

52、找到数据的概率。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社一、地址映像地址映像地址映像:Cache的容量比主存容量小,只能保存主存内容的一个子集。Cache与主存间的数据交换是以块为单位进行,一个Cache块对应多个主存块。为了把信息放到Cache存储器中,必须应用某种函数把主存地址映像到Cache,称作地址映像。地址变换地址变换:在信息按照某种映像关系装入Cache后,当CPU访问存储器时,它给出的一个字的内存地址会自动变换成Cache地址,首先在Cache中查找所需数据。将内存地址变换成Cache地址的过程叫作地址变换。地址映像方式地址

53、映像方式:全相联映像、直接映像、组相联映像。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社1全相联映像方式(1)主存中的任意一块可以装入Cache中的任意块位置。(2)主存中一个块的地址(块号)与块的内容一起存到Cache块中,其中块号存于Cache块的标记部分。(3)优点:因为块地址一起保存在Cache中,使主存的一个块可以直接拷贝到Cache中的任意块位置,非常灵活。(4)缺点:比较器电路难于设计和实现。因此这种映像方式只适合于小容量Cache采用。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出

54、版社设Cache分为8个数据块,主存分为256块,每块字数相同。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2直接映像方式(1)主存分成若干页,每一页与Cache的大小相同,每页的块数与Cache的块数相等。(2)内存各页中的块按相对块号(偏移量)映像到Cache中相同块号的特定块位置。(3)优点:访问地址只需与Cache中一个确定块的标记相比较,硬件简单、成本低。(4)缺点:每一主存块只能存放到Cache中的一个固定位置,不灵活;若相对块号相同的两个主存块存于同一Cache块时,会发生冲突。(5)适合于大容量的Cache,更多的块数可以减少

55、冲突的机会。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社主存的第0、8、248块(共32块)只能装入到Cache的第0块,主存的第1、9、249块(共32块)只能装入到Cache的第1块,依此类推。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社3组相联映像方式将Cache分成u组,每组v块,主存先按Cache的大小分页,每页再对应地分成若干与Cache组大小相同的组。从主存组到Cache组之间采用直接映像方式,而两个对应的组内部采用全相联映像方式。组相联映像方式中Cache分成u组,每组v块,

56、称为v路组相联映像路组相联映像。组相联映像方式适度地兼顾了全相联映像和直接映像的优点,又尽量避免了二者的缺点,因此被普遍采用。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社Cache分为4组,每组2块,主存分成128组,每组2块,主存组与Cache组之间直接映像,而组内部采用全相联映像。如,主存的第0组只能映像到Cache的第0组,而主存第0组中的第0块,既可以映像到Cache第0组中的第0块,也可以映像到第0组中的第1块。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社二、替换算法Cache的工

57、作原理要求它尽量保存最近经常被CPU访问的数据。如果某次访问Cache未命中时,需要把一个新的主存块调入Cache,当允许存放此块的位置都被其他主存块占满时,就要产生替换。1先进先出(FIFO)算法:把一组中最先调入Cache的主存块替换出去。实现容易,开销小。2最不经常使用(LFU)算法把一段时间内被访问次数最少的那个数据块替换掉。不能严格反映近期的访问情况。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社3近期最少使用(LRU)算法LRU算法是把一组中近期最少使用的数据块替换出Cache。保护了刚调入Cache中的新块,使Cache有较高的命

58、中率。4随机替换(RAND)不考虑数据块的使用情况,需要替换时从特定的块位置中随机地选取一块替换掉即可。优点:硬件容易实现,速度比较快。缺点:Cache的命中率和工作效率低。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社三、Cache的读/写操作1贯穿读出式2旁路读出式3全写法4写回法Cache的内容是主存中部分内容的副本,它应与主存内容保持一致。Cache主存系统的读/写操作方式:计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社1贯穿读出式(LookThrough)CPU对存储器的访问请求首先送

59、到Cache,先在Cache中查找所需数据。如果访问Cache命中,则直接从Cache中读取数据;如果未命中,则将访问请求传给主存,访问主存取得数据。优点:降低了CPU对主存的访问次数。缺点:延迟了CPU对主存的访问时间。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2旁路读出式(LookAside)CPU发出的访存请求同时送到Cache和主存。由于Cache的速度快,若命中,则Cache在将数据送给CPU的同时,中断CPU对主存的请求。如果未命中,则Cache不动作,由CPU直接访问主存取得数据。优点:没有时间延迟。缺点:每次CPU都要访问主

60、存,占用了部分总线时间。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社3全写法(WriteThrough)CPU发出的写信号同时送到Cache和主存,当写Cache命中时,Cache与主存同时发生写修改,因而较好地维护了Cache与主存内容的一致性。当写Cache未命中时,只能直接向主存进行写入。优点:操作简单。缺点:Cache对CPU向主存的写操作无高速缓冲功能,降低了Cache的功效。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社4写回法(WriteBack)当CPU写Cache命中时,只修

61、改Cache的内容,而并不立即写入主存,只有当此块被替换出时才写回主存。每个Cache块设置一个修改位,以反映此块是否被CPU修改过。当某块被换出时,根据此块的修改位是1还是0,来决定将该块的内容写回主存还是简单弃去。优点:对一个Cache块的多次写命中都在Cache中快速完成,只是需要替换时才写回速度较慢的主存,减少了访问主存的次数。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.4.3 外存储器外存储器计算机系统的外存储器主要有磁盘、磁带和光盘。磁盘存储器又分为硬磁盘和软磁盘两种,分别简称为硬盘和软盘。硬盘、软盘均属于磁表面存储器。磁表面

62、存储器是在不同形状的载体上,涂有磁性材料层。工作时,载磁体高速运动,磁头在磁层上进行读写操作。信息被记录在磁层上,这些信息的轨迹就是磁道。磁盘的磁道是一个个同心圆环,磁带的磁道是沿磁带长度方向的条型区域。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社一、软盘(FD,FloppyDisk)可移动磁盘存储器,早期在PC机中作为文件和应用软件复制的主要载体。特点:与硬盘相比,容量小、速度低、可靠性差。1.发展:已不作为PC机的基本配置,逐渐被移动硬盘、U盘等取代。2.分类按盘片大小分:5.25英寸软盘和3.5英寸软盘。按软盘的容量可分为:高密度软盘(

63、1.44MB)和低密度软盘(720KB)。目前,720KB的低密度软盘已很少使用。3.构成PC机软盘系统由软盘片、软盘控制器、软盘驱动器(磁头位于其上)组成。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社4.物理外观:写保护缺口索引孔(定位孔)磁头读写窗中心轴孔磁头读写窗写保护口中心轴孔计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5盘片由柔性塑料材料制成,上面涂有极薄的一层铁氧体磁性材料,封装在相应尺寸的塑料保护套内,盘片的两面都可以记录信息。软盘盘片也分为磁道和扇区。(1)磁道盘片上若干个同

64、心圆环。3.5英寸软盘上有80个磁道。(2)扇区每个磁道又被分成若干个扇区,每个扇区可以存放512字节数据。高密度软盘每个磁道上有18个扇区。因此,整个软盘的容量达到28018512B=1.44MB。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社6软盘驱动器由磁头、磁头定位系统、读写系统、主轴驱动系统和状态检测部件等构成。软驱控制电路板主轴电机计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(1)磁头:磁头用于读写盘片上的数据信息。(2)磁头定位系统由磁头小车、磁头小车驱动步进电机、0磁道定位及相

65、应的控制电路等部分组成,其作用是准确、迅速地将磁头定位于指定的磁道上。(3)读写系统由前置放大器、低通滤波、微分放大、限幅放大、数据鉴别及数据整形组成。读操作:磁头感应出的信号很微弱,经前置放大器放大并通过低通滤波滤掉高频噪声信号,将信号送到微分放大电路进行微分放大,再经限幅放大,信号变成方波,然后经数据鉴别(数据是0还是1)、数据整形后送到主机;写操作:当主机发出写信号而且软盘未写保护时,写电路发出一个写允许信号并产生相应的写电流,使磁头磁化盘介质。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(4)主轴驱动系统驱动盘片旋转的装置,它由主轴驱

66、动电机、主轴部件、主轴稳速系统组成。(5)信号检测系统将软驱的相关状态报告给主机,以便主机发出相应的操作命令。0磁道检测信号:磁头小车上有一挡板,磁头小车后退至0道时,该挡板将触发或挡住0磁道检测开关,使磁头小车不再后退并同时发送磁头小车当前位置处于0磁道信号给主机。索引信号检测:软盘的索引孔产生的脉冲索引信号标志着每个磁道的开始扇区位置。当盘片的索引孔经过索引检测光电管时,上下一对光电管正处于对照状态,其中一个光电管发出的光束,正好被另一光电管接收。写保护检测:3.5英寸软驱,当触点开关处于断开时,发送写保护信号给主机,主机将禁止进行写操作。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋

67、宋维堂宋维堂 编编高等教育出版社高等教育出版社7.软盘的工作原理(1)信息存储数据信息以一定的规则存储在磁道和扇区上。初次使用的软盘需要进行格式化,即对磁盘按照标准格式划分磁道和扇区,每个扇区按其格式填写地址信息及其容纳的字节数。软盘采用统一的标准记录格式。5.25英寸和3.5英寸软盘每条磁道的扇区数分成15、9、18、36四种,每个扇区的字节数为512B。(2)软盘接口两个连接器,一个为驱动器提供电源,一个传输进出驱动器的控制信号和数据信号。软盘连接器已经标准化。驱动器与适配器之间通过一条34线的扁平电缆连接,最多接两台驱动器。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂

68、编编高等教育出版社高等教育出版社8. 软盘技术的最新发展软盘技术的最新发展两种新式大容量软驱:Zip:容量为100MB;LS-120:容量为120MB。影响软盘容量的因素影响软盘容量的因素:位密度,磁道圆周上单位长度记录的二进制位数;道密度,磁盘直径方向单位长度上记录的二进制位数。位密度与同一磁道上信息之间的距离相关,距离越大,位密度越小。提高软盘容量的技术提高软盘容量的技术光学定位技术:在相同面积内,比磁定位技术划分出更多的磁道。圆周位记录ZRM(ZoneBitRecording)技术:每磁道的扇区数不同。盘面材质:高密度金属粒涂料、双涂层技术。计算机硬件技术基础计算机硬件技术基础李桂秋李桂

69、秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社二、硬盘(HardDisk,也简称HD)“温彻斯特硬盘温彻斯特硬盘”:1973年,IBM公司推出。工作时,磁头悬浮在高速转动的盘片上方,而不与盘片直接接触,磁头沿高速旋转的盘片上做径向移动。硬盘及驱动器硬盘及驱动器:由固定面板、控制电路板、磁头组、盘片组、主轴电机、接口及其它附件组成。磁头组和盘片组件是构成硬盘的核心,它们被封装在硬盘的净化腔体内,包括浮动磁头组件、磁头驱动机构、盘片组、主轴驱动装置及读写控制电路几个部分。外部结构:外部结构:接口、控制电路板、固定面板三部分。内部结构内部结构:盘片组、磁头驱动机构、磁头组、主轴电机等部分。计算机

70、硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社产品标签安装螺丝透气孔计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社0磁道一个柱面磁道扇区磁头前置控制电路盘片组磁头驱动电机磁头组主轴电机磁头移动手臂盘片之间绝对平行,都固定在一个称为盘片主轴的旋转轴上。每个盘片的存储面上都有一个磁头,与盘片之间的距离只有0.10.3m。所有的磁头连在一个磁头控制器上,由磁头控制器负责各个磁头的运动。磁头沿盘片作经向运动,盘片以每分钟数千转的速度高速旋转,磁头对盘片上的指定位置进行数据的读/写操作。计算机硬件技术基础计算机

71、硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社1盘片组(1)盘片是存储数据的载体,采用铝金属薄膜材料制成。(2)盘片组一般是由一片或几片圆形盘片叠加而成,不同容量的硬盘盘片数是不同的。每个盘片有两个面,每个面都可以记录数据。(3)盘片分为面、磁道、扇区、柱面和着陆区。面:按照磁盘面的多少,依次称为0面、1面、2面等。于每个面对应一个读写磁头,称为0磁头(head)、1磁头、2磁头等。磁头数和盘面数相同。磁道:盘片表面上以盘片圆心为中心的同心圆环。从外向内编号。柱面:不同盘片相同半径的磁道组成的空心圆柱体称为柱面。柱面数等于每个面的磁道数。计算机硬件技术基础计算机硬件技

72、术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社扇区:每个磁道划分成若干段,每段称为一个扇区。一个扇区存放512B的数据。硬盘上每个磁道上的扇区数相同,这样硬盘存储容量计算公式为:硬盘容量=柱面数磁头数每道扇区数512B着陆区:硬盘不工作时磁头停放位置的区域,通常指定一个靠近主轴的内层柱面作为着陆区。着陆区不存储数据,可以避免硬盘受到震动时以及在开、关电源瞬间磁头紧急降落时所造成的数据丢失。硬盘在电源关闭时会自动将磁头停在着陆区内。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2主轴组件包括轴承和驱动电机等。3磁头驱动机构作用

73、是在硬盘寻道时移动磁头。一般由电磁线圈电机、磁头驱动小车、防震动装置构成。4磁头组件是硬盘中最精密的部件之一,是由读写磁头、传动手臂、传动轴三个部分组成。采用了非接触式磁头结构,加电后磁头在高速旋转的磁盘表面移动,磁头与盘片之间的间隙只有0.10.3m,这样可以获得很好的数据传输率、较高的信噪比和数据传输的可靠性。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社三、光盘 光存储设备的信息载体。 厚度为1.2mm、直径为120mm或80mm的圆盘片,最常见的是直径120mm的光盘。 计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编

74、编高等教育出版社高等教育出版社数据轨道是一条由里向外,顺时针方向的螺旋渐开线,其轨道的各个区域尺寸和密度都一样,这条线为光盘轨道光盘轨道。用刻录机在光盘轨道上刻出一个个极小的凹点,这些不同的凹点与平面被激光照射时,产生不同的反射光,形成对应的数据0和1。(1) CD轨道 (2) DVD轨道计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社光盘种类: 1CD 光盘(Compact Disc) CD-DA :CD数字音乐光盘,光盘的始祖。 CD-ROM :CD只读式光盘,使用最广泛的一种光盘。容量大、价格低。 CD-ROM有Mode-1与Mode-2两

75、种形式。每张Mode-1CD-ROM的容量为650MB。每张Mode-2CD-ROM的容量为742MB。印刷面无数据。 CD-R :CD可记录式光盘,可用光盘刻录机将数据一次写入光盘中,写入后的数据不能更改和删除,安全性高。 CD-R的工作原理是利用大功率激光束的热效应使激光焦点照射记录层的有机染料产生不可逆的物理化学变化,形成具有与CD-ROM光盘凹点相同的光学反射特性。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社 CD-RW: CD重复擦写式光盘。光盘上的数据可自由更改或删除,目前使用寿命可达1000次左右。使用弹性比CD-R更大,但价格

76、比CD-R高得多。2 DVD 光盘 (Digital Video Disc) (1)DVD光盘特点 双面存储。由两层厚度分别为0.6mm盘片组成,每个盘片都有两个记录面存放数据。一张DVD-5的存储容量可达4.7GB,是CD-ROM存储容量的7倍。 轨道间距与坑点长度比CD-ROM短,数据存储密度大。 速度高。DVD的1X1.35MB/s,而CD的1X150KB/s。 兼容性好。所有DVD驱动器都可以读取CD光盘。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等

77、教育出版社(2)DVD光盘种类DVDAudio:DVD音乐光盘,支持视频、字幕、菜单、屏保等。DVDVideo:DVD视频光盘,DVD影碟。DVD-ROM:DVD只读光盘,用来存储计算机数据、文件的只读光盘,是CD-ROM光盘的换代产品,可以存放任何的数据信息。DVD-R:DVD可记录式光盘,数据写入后就不能修改,称为一次性写入式DVD光盘。可多次读写DVD光盘DVD-RAM:可以重写100000次以上,但兼容性较差,价格昂贵。DVD-RW:兼容性好。DVD+RW:速度快,价格高。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.4.4 虚拟存

78、储器虚拟存储器虚拟存储器是指存储器层次结构中主存外存层次的存储系统。它是以主存和外存为基础,在存储器管理硬件和操作系统的存储管理软件的支持下组成的一种存储体系。虚拟存储器实际上是借助于磁盘等辅助存储器来扩大主存容量,它以透明的方式提供给用户一个比实际内存空间大得多的地址空间。用户可以理解:虚拟存储器的速度接近于主存的速度,价格接近于辅存的价格,容量比实际主存容量大得多,它只是一个容量非常大的存储器的逻辑模型,而不是任何实际的存储器。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社虚拟存储器的地址空间:虚拟地址空间:虚拟地址空间:或虚存地址空间,程

79、序员编写程序时使用的地址空间。与此相对应的地址称为虚地址或逻辑地址;主存地址空间主存地址空间:实存地址空间,存放运行的程序和数据的空间,其相应的地址称为主存地址、实地址或物理地址;辅存地址空间辅存地址空间:磁盘存储器的地址空间,用来存放暂时不使用的程序和数据,相应的地址称为辅存地址。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社工作原理工作原理:CPU运行程序访问存储器时,给出的地址是虚地址,首先要进行地址变换。如果要访问的信息在主存中,则根据变换所得的物理地址访问主存;如果要访问的信息不在主存中,则要根据虚地址进行外部地址变换,得到辅存地址,

80、把辅存中相应的数据块送往主存,然后才能访问。页面调度页面调度:调入辅存信息时,如果主存中有空闲区域,则直接把辅存中有关的块调入主存;如果主存中没有空闲区,就要根据替换算法进行替换。管理方式管理方式:页式虚拟存储器:主存和辅存间信息传送的基本单位为页。段式虚拟存储器:主存和辅存间信息传送的基本单位为段。段页式虚拟存储器:主存和辅存间信息传送时,先分段再分页。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.5.1 控制器的工作原理控制器的工作原理计算机系统的指挥中心,负责控制和协调整个系统的运行。基本功能:1取指令2分析指令3执行指令4控制程序和

81、数据的输入与结果的输出5对异常情况和某些请求的处理设计与实现:组合逻辑控制方式和微程序控制方式。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.5.2 控制器的组成控制器的组成计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社1程序计数器(PC)又称为指令指针寄存器(IP),用来存放下条指令地址。在程序开始执行时,必须将程序的入口地址(第一条指令地址)送入PC。程序运行中,CPU自动修改PC的值。PC一直指向下次要取的指令。两种形成指令地址的途径:顺序执行时,通过程序计数器自动增量形成下条指令地址

82、,即每取一条指令,PC按指令形式自动加1或加2等;改变程序执行顺序时,一般由转移指令形成转移地址送程序计数器,形成下条指令地址。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2指令寄存器(IR)存放当前执行的指令。要执行一条指令时,先将指令从存储器中取出,取出的指令首先存放在指令寄存器当中,以便下一步送指令译码器译码执行。3指令译码器(ID)对指令寄存器的操作码部分进行译码,产生相应的控制信号。4时序控制信号形成部件对译码器送来的控制信号,按一定的时序关系产生控制信号序列,控制CPU内部各部件完成指令功能。同时也发出各种外部控制信号。时序控制信

83、号要在时钟脉冲的作用下形成,根据被控制部件的反馈信号进行调整。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5脉冲源和启停电路脉冲源:主要是指时钟发生器,产生一定频率的脉冲信号,作为整个机器的时钟脉冲,该脉冲信号是机器的工作脉冲的基准信号。在机器加电时,应产生一个总清(复位)信号(RESET)。 启停电路:保证送出或封锁时钟脉冲,控制时序信号的发生或停止,从而启动机器或使之停机。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.5.3指令的执行过程指令的执行过程【例例】 MOV 1200,AL

84、该指令为三字节指令,指令的执行需要三步:取指令、分析指令即指令译码、执行指令。1000指令MOV的操作码100100100212100312001取指令 PC的内容1000H,经AB送AR。 PC内容加3,变成1003H,为取下条指令做准备。 AR的内容经地址译码,选定存储单元1000H。 控制器发读信号经CB送给存储器,读出指令经DR、DB送IR。 计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2分析指令指令译码器ID对取出指令的操作码进行译码,识别出是直接寻址的传送指令,发出完成下一步操作的控制信号。3执行指令 将IR中的操作数地址1200

85、H送运算器,再经AB送AR。 地址译码器对AR的地址进行译码,选定操作数的存储单元。 将AL中的数据内容送到数据总线DB上。 控制器发出写命令,将数据总线DB上的数据经由数据经数据寄存器DR写入到指定的存储单元中。一条指令执行完毕,继续执行下条指令,直到程序结束。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.5.4 控制器的控制方式控制器的控制方式微操作微操作:一条指令的功能是通过按一定次序执行一系列基本操作来完成的,这些基本操作称为微操作。节拍节拍:指令的微操作序列在时钟脉冲的作用下,严格按照一定的时间顺序进行,每进行一步叫一个节拍。控制

86、器的控制方式:控制器的控制方式:同步控制方式、异步控制方式和联合控制方式。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社一、同步控制方式一、同步控制方式原理:每条指令在执行时所需的节拍数是确定的、固定不变的,由统一的同步脉冲控制微操作的执行。缺点:需要以微操作序列最长的指令为标准,确定控制微操作运行的节拍数。对微操作序列短的指令,将造成时间的浪费,使运行速度变慢。优点:控制简单、易于实现。二、异步控制方式二、异步控制方式原理:没有统一的时间标准,每条指令需要多少节拍,就产生多少节拍。微操作的进行采用应答方式工作。缺点:控制电路较复杂。优点:运行

87、速度快。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社三、联合控制方式三、联合控制方式原理:同步控制方式和异步控制方式相结合,对大部分指令采用同步控制方式,称为中央控制;小部分特殊指令,如微操作序列过长或过短的指令,采用异步控制方式执行,称之为局部控制。特点:介于同步控制和异步控制方式之间。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.5.5微程序控制器微程序控制器一、微程序控制器的基本概念1基本概念和术语微命令:是构成控制信号序列的最小单位,即能直接作用于某部件控制门的命令。如控制门的选通

88、信号、触发器的打入脉冲、置位脉冲等。微操作:由微命令控制实现的最基本的操作。如取指操作中的基本操作,即(PC)AR,(PC)+1PC,DBIR等。微指令:由同时发出的控制信号所执行的一组微操作命令构成。微程序:微指令序列的集合叫作微程序。控制存储器:是微程序控制器的组成部分,用于存放微程序的存储器。一般用只读存储器实现,字长一般为一百多位。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2微程序设计的基本思想将每一条机器指令的功能,用一段微程序来实现。执行相应的微程序就完成了对应机器指令的功能。每段微程序由若干条微指令组成。每条微指令含有若干微命

89、令。每个微命令完成一个微操作。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社3相关概念辨析机器指令与微指令机器指令与微指令:机器指令用于完成机器的一项基本功能,是提供给用户编程序的基本单位;微指令是用于实现机器指令某步操作的一系列微命令的组合,它作为机器的内部信息,对用户来说是透明的。程序与微程序程序与微程序:程序是程序员为完成某项任务而编制的,由若干语句组成的指令集合;微程序由若干条微指令构成,用于实现机器指令,它是在设计计算机时就事先设计好的。主存储器与控制存储器主存储器与控制存储器:主存储器是存放程序和数据的,是构成计算机的一大部件;控制

90、存储器是存放微程序的,是控制器的主要组成部分。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社二、微程序控制器的组成及工作原理1微程序控制器的组成:微指令地址形成电路、微地址寄存器、控制存储器、微指令寄存器及状态条件等部分组成。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社 微指令地址形成电路微指令地址形成电路:形成微程序入口地址和下条微指令地址。 微地址寄存器微地址寄存器:存放微指令地址。由微地址形成电路形成的微指令地址,寄存在微地址寄存器中。 控制存储器控制存储器:存放所有机器指令对应的微程序

91、。包括微指令地址译码及驱动电路。来自微地址寄存器的微地址经译码驱动,选中相应的微指令单元,读出所选微指令,送微指令寄存器。 微指令寄存器微指令寄存器:存放从控制存储器中读出来的微指令。微指令包括两部分:微操作控制字段和顺序控制字段(下址字段)。微操作控制字段提供微命令,顺序控制字段指示下条微指令地址的形成方式或直接提供下条指令地址。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2微程序控制器的工作原理微程序控制器的工作原理从控制存储器中取出一条“取机器指令”用的微指令,送微指令寄存器。公用的微指令,存放在控制存储器的0号或1号单元。机器指令操作

92、码经微地址形成电路形成该指令对应的微程序入口地址,送微地址寄存器。从控制存储器中逐条取出微指令,送微指令寄存器。每条微指令提供一个微命令序列,控制有关操作。每执行完一条微指令,再由下址字段指出下条微指令的地址,继续取出下条微指令执行。执行完对应一条机器指令的一段微程序后,返回0号(或1号)微地址单元,读取“取机器指令”的微指令,以便取下条机器指令继续执行。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社5.5.6 8086的内部结构的内部结构8086微处理器是美国Intel公司1987年推出的一种高性能的16位微处理器。是80X86家庭的第一个成

93、员。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社一、引脚结构40引脚的双列直插式封装;16根数据线(AD15AD0,地址/数据双向复用,16位);20根地址线(A19/S6A16/S3和AD15AD0,均为复用线),可寻址的地址空间达1MB。工作时,只需要一个5V电源和单相时钟,时钟频率为58MHz。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社二、8086CPU的内部结构计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社1总线接口部件BIU(Bu

94、sInterfaceUnit)(1)功能:负责CPU与存储器、I/O接口之间的数据传送。(2)组成:4个16位段地址寄存器CS:代码段寄存器,存放代码段地址。DS:数据段寄存器,存放数据段地址。ES:附加数据段寄存器,存放附加数据段地址。SS:堆栈段寄存器,存放堆栈段地址。1个16位指令指针寄存器IP(InstructionPointer)即程序计数器PC。有自动增量的功能,每运行一条指令,IP自动指向下一条指令的地址。程序运行时,由CS指定段地址,IP指定段内偏移量。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社1个20位地址加法器:形成20

95、位物理地址。物理地址=段地址16偏移量1个6字节指令队列在执行指令的同时,从存储器中取下面一条或几条指令放入指令队列,这样CPU执行完一条指令即可立即执行下条指令,不用等待执行完再取指令,提高了CPU的效率。总线控制逻辑:对数据总线、地址总线、控制总线进行管理控制。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(3)8086 CPU地址形成8086有20位地址线,可直接寻址的最大内存空间为220=1MB,即00000HFFFFFH。而8086的内部所有寄存器都是16位,不能直接给出20位地址,需由地址加法器根据16位信息计算出20位的物理地址。

96、 逻辑地址逻辑地址:程序中使用的存储单元地址,用“段基址:段内偏移地址”表示,16位。物理地址物理地址:内存中使用的地址,为20位,通过地址加法器将段地址左移4位加上段内偏移量得到。即:物理地址=段地址16偏移量段寄存器的值段内偏移量物理地址+20位16位4位计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社2执行部件EU(ExecutionUnit)(1)功能:执行指令。执行指令时,执行部件从BIU的指令队列取出指令,由控制器单元内部的指令译码器进行译码,并向各部件发出相应的控制信号,完成指令的功能。同时控制BIU部件进行数据交换等。(2)组成4

97、个16位通用寄存器:AX、BX、CX、DX一般用途:暂存中间运算的结果和参加运算的数据。可分为8个8位寄存器使用,即AH、AL;BH、BL;CH、CL;DH、DL。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社专门用途:AX:累加器,提供乘、除法运算的被乘数和被除数等隐含操作数。BX:基址寄存器,用于指令的基址和变址寻址。CX、CL:计数器,提供循环次数和移位次数。DX、DL:数据寄存器,用于存放输出显示的字符串首地址、字符的ASCII码和I/O端口地址。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育

98、出版社 4 4个个1616位专用寄存器位专用寄存器BPBP:基址指针寄存器,在寄存器间接寻址方式下,存放基地址和变址。SPSP:堆栈指针寄存器,在堆栈操作时,确定栈顶在内存中的位置。SISI:源变址寄存器,在寄存器间接寻址方式下,存放基地址和变址。DIDI:目的变址寄存器,在寄存器间接寻址方式下,存放基地址和变址。也兼作通用寄存器。1个16位标志寄存器:存放CPU运算结果的特征状态和控制状态。算术逻辑单元ALU:运算器的核心部件,完成数据的算术/逻辑运算等。EU控制电路:控制器的核心部件,主要是对指令操作码进行译码,产生各种微操作控制信号,控制各部件完成指令功能。计算机硬件技术基础计算机硬件技

99、术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(3)8086的程序状态字PSW(ProgramStatusWord)8086标志寄存器16位,使用了其中的9位,设9个标志。15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0OF DFIFTFSFZFAFPFCF按功能分为两类:状态标志状态标志:SF、ZF、PF、CF、AF、OF。反映当前操作后算术逻辑单元ALU的状态,可通过测试这些标志来确定后面的操作,一般用于条件转移、条件调用等指令的判断条件。控制标志控制标志:DF、IP、TF。对某一种特定的功能起控制作用。人为设置,有专门的指令用于设置和清除控制

100、标志。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社符号标志SF:运算后指出运算结果的正负。与运算结果的最高位相同。SF=0,结果为正;SF=1,结果为负。零标志ZF:指示当前运算结果是否为0。ZF=0,结果非零:ZF=1,结果为零。奇偶标志PF:指示运算结果低8位1的个数的奇偶性。PF=0,运算结果1中的个数为奇数;PF=1,运算结果中1的个数为偶数。进位标志CF:指示运算结果最高位有无进(借)位。CF=0,无进(借)位;CF=1,有进(借)位。辅助进位标志AF:反映运算时有无半进(借)位。即一个字节中,低4位向高4位的进位。AF=0,无半进

101、位;AF=1,有半进位。一般作为在BCD码运算中是否进行十进制调整的判断依据。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社溢出标志OF:反映运算结果有无溢出。OF=0,无溢出:OF=1,有溢出。方向标志DF:控制串操作的地址增减性。DF=0,串操作过程中地址增值;DF=1,串操作过程中地址减值。中断标志IF:控制是否允许响应可屏蔽中断。IF=0,禁止中断;IF=1,允许中断。跟踪标志TF:控制单步运行。TF=0,非单步运行;TF=1,单步运行。状态标志中,SF、ZF、PF、CF、OF是可测试的标志,AF是内部测试的,不能由用户用软件的方法进行

102、测试。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社(4)堆栈堆栈是一段特殊组织的存储区域。在堆栈中对存储单元是按“后进先出”的原则进行存取操作。常用于子程序嵌套调用和嵌套中断中,保护断点地址和保护现场。8086的堆栈是由高地址向低地址延伸。由SS指定堆栈段地址,SP指定堆栈段内偏移量,SS:SP始终指向栈顶单元。8086指令系统中有专门的指令对堆栈进行操作。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社3. BIU和EU的动作管理BIU和EU不是同步工作的,而是按一定的原则进行两者动作管理的。

103、 每当指令队列中有2个空字节,BIU就自动从内存中取出后继指令放入指令队列。 每当EU执行完一条指令时,就会从指令队列前部取出指令代码去执行,当需访问内存或I/O时,EU请求BIU进入总线周期,完成访问操作。 当指令队列已满,又无内存或I/O访问时,BIU空闲。 执行转移、调用、返回指令时,下面要执行的指令不是在程序中的下一条指令,这时指令队列中已装入的指令就需清除。 EU执行指令的操作与BIU取指操作可并行进行,从而加快指令执行的速度。本章小结本章小结计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社小 结1.总线:总线是计算机系统各部件之间信息

104、交换的公共通道。总线完成一次数据传输要经历四个阶段:总线请求和仲裁阶段、寻址阶段、数据传送阶段、结束阶段。总线的三种通信方式:同步通信、半同步通信和异步通信。总线的主要技术指标:总线带宽、总线位宽、总线的工作频率,关系为:总线带宽(MBps)=(总线位宽/8)总线工作频率(MHz)总线类型:根据总线上传送的信息分为:数据总线、地址总线和控制总线;根据位置和应用场合分为:片内总线、片间总线、内总线和外总线四级。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社各模块与总线连接需通过总线缓冲器。2.运算器:结构:ALU、阵列乘除器、通用或专用寄存器组、

105、三态缓冲区以及内部总线等逻辑部件组成。ALU是核心部件。运算器根据功能可分为定点运算器和浮点运算器。定点运算器的结构有单总线结构、双总线结构和三总线结构三种。3.存储器:(1)种类:按存储介质分:半导体存储器、磁存储器、光存储器;按存取方式分:随机存储器、只读存储器和顺序存储器;按作用分:主存储器(内存)、辅助存储器、缓冲存储器和闪速存储器。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社只读存储器:固定只读存储器ROM、可编程只读存储器PROM、可擦除可编程的只读存储器EPROM和电擦除可编程的只读存储器EEPROM。(2)存储体系:由缓存主存

106、辅存等组成的二层、三层或多层存储系统。解决了存储器容量、速度、位价之间的矛盾。(3)高速缓冲存储器Cache:介于CPU和主存之间,速度比主存快,容量比主存小。为解决CPU和主存之间数据传输速度的不匹配问题而设置。(4)当存储芯片的容量和位数不能满足实际存储器的要求时,需采用多个存储芯片进行字扩展,位扩展或字、位同时扩展。计算机硬件技术基础计算机硬件技术基础李桂秋李桂秋 宋维堂宋维堂 编编高等教育出版社高等教育出版社4. 控制器:(1)功能:控制程序的执行,主要有取指令、分析指令、执行指令、控制程序和数据的输入与结果的输出、对异常情况和某些请求的处理等功能。(2) 类型:组合逻辑控制器、微程序控制器。(3) 结构:由程序计数器(PC)、指令寄存器、指令译码器、时序控制信号形成部件、脉冲源和启停电路等基本结构组成。(4) 控制方式:同步控制方式、异步控制方式和联合控制方式。(5)8086CPU:80X86家族的第一个成员,由总线接单元BIU和执行单元EU两部分组成。包含4个16位的段寄存器CS、DS、SS、ES;4个16位的通用寄存器AX、BX、CX、DX;4个16位的专用寄存器SP、BP、SI、DI;1个16位的指令指针寄存器IP和1个16位的状态标志寄存器。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号