designcompiler2008的安装和使用教学幻灯片

上传人:yulij****0329 文档编号:141149053 上传时间:2020-08-04 格式:PPT 页数:29 大小:1.05MB
返回 下载 相关 举报
designcompiler2008的安装和使用教学幻灯片_第1页
第1页 / 共29页
designcompiler2008的安装和使用教学幻灯片_第2页
第2页 / 共29页
designcompiler2008的安装和使用教学幻灯片_第3页
第3页 / 共29页
designcompiler2008的安装和使用教学幻灯片_第4页
第4页 / 共29页
designcompiler2008的安装和使用教学幻灯片_第5页
第5页 / 共29页
点击查看更多>>
资源描述

《designcompiler2008的安装和使用教学幻灯片》由会员分享,可在线阅读,更多相关《designcompiler2008的安装和使用教学幻灯片(29页珍藏版)》请在金锄头文库上搜索。

1、逻辑综合,某 2010.4.21,Based on design compile 200809,DC2008的安装,准备工作: 1.installer软件 我们使用 installer_v2.0 Synopsys公司的软件安装需要Installer引导。也即用Installer才可安装Synopsys公司的软件。因此,我们需要Installer软件。 2.DC的安装软件包 Design_Compiler_2008.09_common.tar Design_compiler_2008.09_linux.tar 3.安装DC2008需要用到SCL软件包 scl_v10.9.3_common.tar

2、 scl_v10.9.3_linux.tar 4.安装平台 在linux4.6上安装(如果要使用虚拟机,则使用vmware6.5,使用虚拟机有很多方便,可以在虚拟机中随时读取windows下的文件,资料复制都很方便(可以直接往linux系统里面拖资料!),而且对于在只有一台电脑的情况下,可以利用windows平台查看课件,查资料等),准备工作: 5.此外我们需要自己制作license,因此需要license破解器EFA LicGen,这就是我们安装DC2008所需要的全部资料,选择自定义安装,选择这项,我们安装的系统是linux4,选择安装目录,处理器个数,选1,选择虚拟内存,连接方式选择桥接

3、模式,选总线模式,创建新的虚拟硬盘,硬盘类型选IDE,设置虚拟硬盘大小,根据实际需要进行设定,一个虚拟PC就设置完成了,点击完成,出现虚拟机界面,就可以开始安装linux了,制作DC2008的license的时候需要MAC地址,可以在此时记下来你虚拟机的MAC地址。这里是(000C29925964)然后我们可以点击右下方的光盘图标,加入镜像文件即可安装了。具体安装见培训课件中linux的安装,Vmware tools的安装及共享文件的设置,Linux系统安装完并进行相关设置以后,在vm软件菜单中的vm选项中选中install vmware tools,我们可以看到在linux系统中出现一个光盘

4、图标,点击进入,有两个压缩包,解压之后发现一个vmware-install.pl 文件,在终端中运行它 ./vmware-install.pl,然后一直enter知道安装完成。这时在/mnt下是不是看到了一个hgfs文件夹这就表明安装成功了,下面就要讲一下怎么来设置共享了,这一部分更简单。 还是在vm菜单中,我们选中setting会出现以下界面,在options下我们选中shared Folders,选中always enable-add ,就可以添加我们需要共享的目录了,完整以后,我们会在linux,/mnt/hgfs/下看到我们所添加的文件夹,以后就可以自由地访问windows中任何文件了

5、,DC2008的安装,软件安装: 1. 目录结构,本人在linux 的目录下建了/home/wangjun/soft文件夹,IC设计软件众多,为了方便,最好分类安放,下面是我文件夹内部的目录结构,仅供参考以及便于后面的说明。建议安装的时候也参考这样。,2. 安装installer,将installer2.0 解压到installer 文件夹即可; 3. 安装SCL 终端下打开install解压文件夹,运行./installer gui会出现图形安装界面,图形安装界面,点start开始安装,选中scl解压所在文件夹,然后一直next,过程中有些选项都不需要管,也不需要填,直到选中安装目标路径,我

6、把scl安装在dc目录下,Scl安装完毕! 同样的方法可以安装common包和linux包,安装这两个包的时候最好分开安装,先安装common包,例如,我的common和linux包分别放在/home/wangjun/soft/dc/dc200808/common /home/wangjun/soft/dc/dc200808/linux 但是安装目录一定要安装在一起!即都安装在/home/wangjun/synopsys/dc下!,安装common包,选好解压目录,点击done,选择好common包的安装目录,安装linux包是一样安装到这个文件夹!,软件包安装完成,点击finish,每次都会

7、出现另外的对话框,点dismiss就可以,License 制作是很最关键的,没有license软件肯定没法启动。 首先我们打开EFA LicGen 0.4b文件夹,有一个license产生器 双击后,我们打开packs中的synopsys.lpd这个文件,制作license需要机器的MAC地址,查询本机MAC地址可以有一下两种方法:分别在windows和linux下,但是要注意的是,如果是虚拟机安装的话,虚拟机的MAC地址也是虚拟的!,DC2008license制作和启动配置文件,注意!做这一步之前,请把EFA LicGen 0.4b和Synopsys SSS Feature Keygen两个

8、文件夹放到桌面上!,(1).windows下查看MAC的办法: 运行cmd,在命令行中输入ipconfig/all 我们可以看到我们的物理地址, 笔记本有2个网卡,所以有两个MAC 但是synopsys软件只认有线的!即上面那个physical address! (如果我们是用虚拟机安装linux的话 就只能用虚拟机的MAC了!) (2).linux下查看MAC的办法: 1在终端中运行/sbin/ifconfig a Hwaddr后面就是虚拟机的MAC! 2在虚拟机中,我们还可以在虚拟机的安装目录下打开vmware的配置文件,用记事本打开,里面也可以查看到MAC地址,找到ethernet0.g

9、eneratedAddress这一行,也可以查到MAC数据!,生成license,1.Custom中填入你的MAC地址,2.这个勾要选,然后也在custom下填写MAC地址,3.点generate会产生一个初步的license文件,这样的话就产生了初步的license,我们save到Synopsys SSS Feature Keygen文件下,保存为synopsys.dat,这一步我们就要用到KeyGen产生FEATURE SSS,运行KeyGen之前,我们要利用“sssverify”得到“secret date”信息。 1.运行cmd进入虚拟dos环境,如图所示进入sssverify所在目录

10、(使用cd命令)。,2. 进入sssverify所在目录后,键入:sssverify synopsys.dat,按enter键,如果前面制 作的synopsys.dat文件没问题的话,可以执行并得到一串SECRET DATA,如图中“SECRET DATA = 028d 0000 e372 0000 c000(注意,ssverify.exe和synopsys.dat在同一目录下),3.利用KeyGen得到得到FEATURE SSS信息 ,运行“KeyGen.exe”,在“SECRET DATA”栏中填入得到的SECRET DATA码,在“HOST ID”中填入你的MAC地址。,我们刚才得到的S

11、ECRET DATA,你的MAC地址,点击Generate后,会在此文件夹中生成一个license.dat的文件。,用记事本打开license.dat,将里面的Feature SSS信息拷贝到原来生成的“synopsys.dat”文件内,替换原有的 Feature SSS内容。这样,“synopsys.dat”基本做好了。 最后,我们要把SERVER中的主机名和下面snpslmd(我们的license是由此文件启动的,该文件在scl目录下)的实际路劲,替换,修改主机名,用记事本打开“synopsys.dat”,将第一行修改为:SERVER 主机名 MAC地址27000。其中主机名是Linux系

12、统下的主机名,可在Linux的终端中用“uname -a”命令查看,默认一般为“localhost.localdomain”;MAC地址就是网卡地址,后面的27000是默认需要的。本文中该行信息为“SERVER localhost.localdomain 000c2916d785 27000” 将“synopsys.dat”第二行改为: DAEMON snpslmd /home/wangjun/synopsys/dc/scl/linux/bin/snpslmd,也就是Linux下snpslmd的路径(就是我们刚才安装的scl文件夹的子文件夹下),具体根据实际情况修改,之后保存,也可以保存为tx

13、t格式。下图就是我最终的license,将它放到/home/wangjun/synopsys/key目录下,最终的license!,加入配置文件,在.cshrc中,我们加入以下变量: # .cshrc for the licenses of synopsys dc setenv SNPSLMD_LICENSE_FILE /home/wangjun/synopsys/key/synopsys.dat # .cshrc for synopsys DC 2008.09 setenv SYNOPSYS /home/wangjun/synopsys/dc Setenv PATH $SYNOPSYS/li

14、nux/syn/bin:$PATH:,SNPSLMD_LICENSE_FILE的意思是指我们要用snpslmd这个license管理器来启动license,安装完成,终端下 输入design_vision可以打开DC图形界面 输入dc_shell即可打开文本界面,在dc_shell模式下,如果想看图形界面,再输入start_gui即可进入图形界面,(这里有一个问题,就是在刚安装完的时候,没重启过linux就在终端输入启动命令可能会说命令未找到,这时我们只要进入/home/wangjun/synopsys/dc/bin下,双击dc_shell这个文件,选择在终端中运行,可以启动DC,然后以后都可以正常启动。),网赚论坛 台槱浳歃,

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 中学教育 > 教学课件 > 高中课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号