基于fpga的微处理器设计毕业设计

上传人:乐*** 文档编号:117405746 上传时间:2019-12-05 格式:DOC 页数:46 大小:402.50KB
返回 下载 相关 举报
基于fpga的微处理器设计毕业设计_第1页
第1页 / 共46页
基于fpga的微处理器设计毕业设计_第2页
第2页 / 共46页
基于fpga的微处理器设计毕业设计_第3页
第3页 / 共46页
基于fpga的微处理器设计毕业设计_第4页
第4页 / 共46页
基于fpga的微处理器设计毕业设计_第5页
第5页 / 共46页
点击查看更多>>
资源描述

《基于fpga的微处理器设计毕业设计》由会员分享,可在线阅读,更多相关《基于fpga的微处理器设计毕业设计(46页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的微处理器设计毕业设计(论文)设计(论文)题目 基于FPGA的微处理器设计ABSTRACT摘 要本文使用结构化编程方法,将微处理器内核按照功能划分为不同的模块,采用VHDL语言设计每一个模块的内部功能和外围接口,设计实现了一种基于FPGA芯片的微处理器系统。矚慫润厲钐瘗睞枥庑赖。该微处理器主要由控制器、运算器和寄存器组成,具有指令控制、操作控制、时间控制和数据加工等基本功能,可实现四位操作数的各种运算,其指令长度为16位定长,采用了直接寻址方式。最后采用QUARTUSII对设计进行了仿真测试,结果表明设计实现了微处理器的主要功能。聞創沟燴鐺險爱氇谴净。关 键 字:FPGA,微处理器

2、,VHDLABSTRACTA microprocessor on FPGA is realized by using structured programming. This microprocessor core is divided into several different function modules which are designed using VHDL.残骛楼諍锩瀨濟溆塹籟。The microprocessor consists of controller, arithmetic unit and registers. It realizes the instructio

3、n control, operation control, time sequence control and data processing functions. The direct addressing mode is adopted. The various operations for 4bit operand can be achieved. Its instruction length is 16 bit.酽锕极額閉镇桧猪訣锥。The design is simulated by using QUARTUSII, and the results show that the mai

4、n functions of a microprocessor are achieved.彈贸摄尔霁毙攬砖卤庑。Key Words: FPGA, CPU, VHDLIII目录目 录摘 要I謀荞抟箧飆鐸怼类蒋薔。ABSTRACTII厦礴恳蹒骈時盡继價骚。第1章 绪论1茕桢广鳓鯡选块网羈泪。1.1 背景1鹅娅尽損鹌惨歷茏鴛賴。1.2 微处理器的概况1籟丛妈羥为贍偾蛏练淨。1.3 课题研究方法及技术背景1預頌圣鉉儐歲龈讶骅籴。1.3.1 研究方法1渗釤呛俨匀谔鱉调硯錦。1.3.2 技术背景2铙誅卧泻噦圣骋贶頂廡。1.4 课题工作内容3擁締凤袜备訊顎轮烂蔷。第二章 微处理器体系结构4贓熱俣阃歲匱阊邺镓

5、騷。2.1 CPU的功能和构成4坛摶乡囂忏蒌鍥铃氈淚。2.2 指令系统分析5蜡變黲癟報伥铉锚鈰赘。2.2.1 RISC 与总线结构5買鲷鴯譖昙膚遙闫撷凄。2.2.2 指令系统6綾镝鯛駕櫬鹕踪韦辚糴。2.3 指令时序分析8驅踬髏彦浃绥譎饴憂锦。2.3.1 RISC与流水线8猫虿驢绘燈鮒诛髅貺庑。2.3.2 程序计数器与流水线8锹籁饗迳琐筆襖鸥娅薔。2.4 CPU整体结构即设计思想9構氽頑黉碩饨荠龈话骛。2.4.1 CPU的外部引脚规划9輒峄陽檉簖疖網儂號泶。2.4.2 CPU的整体框图10尧侧閆繭絳闕绚勵蜆贅。2.4.3 CPU结构的层次划分11识饒鎂錕缢灩筧嚌俨淒。第三章 CPU数据通路设计1

6、2凍鈹鋨劳臘锴痫婦胫籴。3.1 程序计数器模块PC12恥諤銪灭萦欢煬鞏鹜錦。3.2 程序存储器PC_RAM13鯊腎鑰诎褳鉀沩懼統庫。3.3 指令寄存器模块14硕癘鄴颃诌攆檸攜驤蔹。3.4 时钟发生器模块14阌擻輳嬪諫迁择楨秘騖。3.5 寄存器堆TRAM15氬嚕躑竄贸恳彈瀘颔澩。3.6 ALU模块16釷鹆資贏車贖孙滅獅赘。第四章 CPU控制单元的设计18怂阐譜鯪迳導嘯畫長凉。4.1 控制器Control模块18谚辞調担鈧谄动禪泻類。4.2 有限状态机FSM模块19嘰觐詿缧铴嗫偽純铪锩。4.2.1 有限状态机19熒绐譏钲鏌觶鷹緇機库。4.2.2 利用的VHDL语言进行状态机描述19鶼渍螻偉阅劍鲰腎

7、邏蘞。第五章 RISC CPU的仿真验证21纣忧蔣氳頑莶驅藥悯骛。5.1 各模块的组合21颖刍莖蛺饽亿顿裊赔泷。5.2 综合RTL电路图21濫驂膽閉驟羥闈詔寢賻。5.3 RISCCPU的功能仿真验证24銚銻縵哜鳗鸿锓謎諏涼。5.3.1 算术运算类指令验证24挤貼綬电麥结鈺贖哓类。5.3.2 逻辑运算类指令验证25赔荊紳谘侖驟辽輩袜錈。5.3.3 移位类指令验证25塤礙籟馐决穩賽釙冊庫。5.3.4 LD数据输出指令仿真26裊樣祕廬廂颤谚鍘羋蔺。5.3.5 ST运算数据存储仿真26仓嫗盤紲嘱珑詁鍬齊驁。5.4 总结27绽萬璉轆娛閬蛏鬮绾瀧。第六章 总结和展望28骁顾燁鶚巯瀆蕪領鲡赙。参考文献29瑣

8、钋濺暧惲锟缟馭篩凉。致谢错误!未定义书签。鎦诗涇艳损楼紲鯗餳類。附录30栉缏歐锄棗鈕种鵑瑶锬。山东大学威海分校毕业设计(论文)第1章 绪论1.1 背景随着数字通信和工业控制领域的高速发展,超大规模集成电路的集成度和工艺水平不断提高,要求专用集成电路(ASIC)的功能越来越强,功耗越来越低,生产周期越来越短,这些都对芯片设计提出了巨大的挑战,传统的芯片设计方法已经不能适应复杂的应用需求了,将整个应用电子系统集成在一个芯片中(SOC), 已成为现代电子系统设计的趋势。简单来说,SOC是一种将多个独立的VLSI设计拼合在一起,来形成某一应用所需的全部功能的集成电路,以其高集成度、低功耗等优点越来越受

9、欢迎1。辔烨棟剛殓攬瑤丽阄应。中国的电子信息产业现在增长迅速,主要集中在移动通信设备、数据通信设备、计算机及消费产品领域。考虑到我国电子信息市场的特殊性,即巨大的移动通信和数字家电市场的核心芯片主要依赖进口的状况。巨大的市场的需求决定了开发SOC的必要性,现在数字家电的片上系统研究己经成为研究的热点之一。研究SOC的设计方法和验证方法具有很大的现实意义2-3。其中CPU是SOC的重要组成部分,可以完成简单的数据处理,内存的调度,中断处理等操作。随着百万门级的FPGA芯片、功能复杂的IP核、可重构的嵌入式处理器核以及各种强大EDA的开发工具的迅速发展,使得设计者在EDA工具的帮助下完成整个系统从

10、行为算法级到物理结构级的全部设计,并最终将一个电子系统集成到一片FPGA中,即SOPC。可见,SOPC是基于FPGA解决方案的SOC,是SOC发展的新阶段。峴扬斕滾澗辐滠兴渙藺。1.2 微处理器的概况微处理器是计算机系统中非常之重要的核心组成部分,它用来控制计算机的各种操作过程,通常也被称为CPU,即中央处理器。随着科学技术的迅速发展,微处理器的发展也是非常迅速,它的处理能力已经由过去的4位发展到现在的64位,运算能力和处理能力大大提高,应用领域也从计算机系统扩展到各个相关领域,例如通信、航天和工业控制等。通常,微处理器按照处理能力可以划分为4位、8位、16位、32位和64位微处理器,它的处理

11、能力是逐步提高的。但是,在微控制器的发展过程中,8位机始终是嵌入式低端应用的主要机型4。詩叁撻訥烬忧毀厉鋨骜。现今市场上流行的典型的8位微控制器,可以在各种FPGA上实现,设计灵活方便而且易于进行功能扩展。因此研究8位CPU内核具有广泛的现实意义,由于其具有较高的处理性能和较少的资源占用,故具有更加广泛的应用前景。则鯤愜韋瘓賈晖园栋泷。1.3 课题研究方法及技术背景1.3.1 研究方法本课题使用硬件描述语言VHDL采用自顶向下的设计方法设计一个满足要求的8位CPU内核及外部接口,使用EDA软件QUARTUSII软件完成编码、仿真验证。自顶向下的设计方法就是设计者首先从整体上规划整个系统的功能和

12、性能,然后利用功能分割手段对系统进行划分,分解为规模较小、功能较简单的局部模块,并确立它们之间的相互关系,将设计由上至下进行层次化和模块化,即分层次、分模块地对电子系统进行设计和仿真。不难看出,采用自顶向下的设计方法实际上就是基于芯片的系统设计方法,这种方法有助于在设计早期发现结构设计中的错误,提高设计成功率。胀鏝彈奥秘孫戶孪钇賻。1.3.2 技术背景 1. 硬件描述语言VHDL 如今,大多数的EDA工具都采用VHDL作为主要的硬件描述语言,这主要源于VHDL强大的自身功能和特点,下面介绍一下VHDL的优点。鳃躋峽祷紉诵帮废掃減。(1) 具有强大的描述能力VHDL既可以描述系统级电路,也可以描

13、述门电路;既可以采用行为描述、寄存器描述或者结构描述,可以方便地建立电子系统模型。稟虛嬪赈维哜妝扩踴粜。(2) 具有共享与复用能力VHDL采用基于库的设计方法,从而大大减少了工作量,缩短了开发周期。(3) 具有独立于器件和工艺设计的能力VHDL独立于器件的特点可以使设计人员集中精力来进行电子系统的设计和性能优化,而不需要考虑其他问题。(4) 具有良好的可移植能力。VHDL的可移植性源于它是一种标准化得硬件语言,因此同一个设计描述可以被不同的工具所支持。(5) 具有向ASIC移植的能力。2. CISC指令集和RISC指令集CISC是一种为了便于编程和提高内存访问效率的芯片设计体系。早期的计算机使

14、用汇编语言编程,由于内存速度慢且价格昂贵,使得CISC体系得到了用武之地。它的设计目的是要用最少的机器语言指令来完成所需的计算任务。后来 功能需求越来越丰富,因此越来越多的复杂指令被加入到指令系统中,但是还必须保持着前向的兼容性。内容的不断扩充和兼容性的考虑,导致庞大的CISC指令系统形成了。在20世纪90年代中期之前,大多数的微处理器都采用CISC体系包括Intel的80x86和Motorola的6sK系列等6。陽簍埡鲑罷規呜旧岿錟。CISC 体系结构几乎没有考虑流水线的因素,使得指令执行起来耗时而且寻址复杂。1975年,IBM的设计师提出了一种新的体系结构和指令集设计方案,这就是RISC体

15、系结构。典型的RISC处理器具有以下特点:沩氣嘮戇苌鑿鑿槠谔應。(1) 指令功能简单,各指令的复杂度分布均衡,有利于形成流水线;(2) 控制电路简单,多采用硬连线方式来实现。因为不需要额外的存取微程序存储器来完成指令的执行,因此可以直接使用硬连线方式来设计;钡嵐縣緱虜荣产涛團蔺。(3) 指令定长,指令格式简单,指令编码固定。这样字段固定,使操作码得译码与操作数的存取可以同时执行,使得控制单元的设计简单化;懨俠劑鈍触乐鹇烬觶騮。(4) ALU指令和访存指令分开,并且访存种类很少。(5) 以寄存器对寄存器的运算为主。寄存器对寄存器的运算有助于减少对存储器的访问次数,提高数据的存取速度7-8。謾饱兗争詣繚鮐癞别瀘。1.4 课题工作内容本文一共分为七章。各章节内容安排如下:第一章 绪论。第二章 微处理器的体系结构。研究了CPU的整体设计,指令系统和时序分析以及流水线的实现。第三章 CPU数据通路设计。详细介绍了通路模块中的程序计数器、指令寄存器、程序存储器等的具体设计和功能实现。第四章 CPU控制单元的设计。详细介绍了控制单元中的控制器和状态机的设计和功能实现。第五章 CPU的仿真验证。验证CPU

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 工学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号