LCD1602液晶显示实验

上传人:QQ15****706 文档编号:107018082 上传时间:2019-10-17 格式:DOC 页数:15 大小:649KB
返回 下载 相关 举报
LCD1602液晶显示实验_第1页
第1页 / 共15页
LCD1602液晶显示实验_第2页
第2页 / 共15页
LCD1602液晶显示实验_第3页
第3页 / 共15页
LCD1602液晶显示实验_第4页
第4页 / 共15页
LCD1602液晶显示实验_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《LCD1602液晶显示实验》由会员分享,可在线阅读,更多相关《LCD1602液晶显示实验(15页珍藏版)》请在金锄头文库上搜索。

1、LCD1602液晶显示实验1.实验原理1.1 基本原理1.1.1 1602字符型LCD简介 字符型液晶显示模块是一种专门用于显示字母、数字、符号等点阵式LCD,目前常用16*1,16*2,20*2和40*2行等的模块。1.1.2 1602LCD的基本参数及引脚功能 1602LCD分为带背光和不带背光两种,基控制器大部分为HD44780,带背光的比不带背光的厚,是否带背光在应用中并无差别,两者尺寸差别如下图1-2所示:图1-2 1602LCD尺寸图1.1602LCD主要技术参数:显示容量: 162个字符芯片工作电压: 4.55.5V工作电流: 2.0mA(5.0V)模块最佳工作电压: 5.0V字

2、符尺寸: 2.954.35(WH)mm2.引脚功能说明:1602LCD采用标准的14脚(无背光)或16脚(带背光)接口,各引脚接口说明如表: 表1-3引脚接口说明表编号符号引脚说明编号符号引脚说明1VSS电源地9D2数据2VDD电源正极10D3数据3VL液晶显示偏压11D4数据4RS数据/命令选择12D5数据5R/W读/写选择13D6数据6E使能信号14D7数据7D0数据15BLA背光源正极8D1数据16BLK背光源负极1.1.3 1602LCD的指令说明及时序1602液晶模块内部的控制器共有11条控制指令,如表1-4所示:表1-4 控制命令表序号指令RSR/WD7D6D5D4D3D2D1D0

3、1清显示00000000012光标返回000000001*3置输入模式00000001I/DS4显示开/关控制0000001DCB5光标或字符移位000001S/CR/L*6置功能00001DLNF*7置字符发生存贮器地址0001字符发生存贮器地址8置数据存贮器地址001显示数据存贮器地址9读忙标志或地址01BF计数器地址10写数到CGRAM或DDRAM)10要写的数据内容11从CGRAM或DDRAM读数11读出的数据内容1602液晶模块的读写操作、屏幕和光标的操作都是通过指令编程来实现的。(说明:1为高电平、0为低电平)读写操作时序如图1-5和1-6所示:图1-5 读操作时序图1-6 写操作

4、时序1.1.4 1602LCD的RAM地址映射及标准字库表液晶显示模块是一个慢显示器件,所以,在执行每条指令之前,一定要确认模块的忙标志为低电平,表示不忙,否则此指令失效。要显示字符时,要先输入显示字符地址,也就是告诉模块在哪里显示字符,图1-7是1602的内部显示地址。图1-7 1602LCD内部显示地址例如,第二行第一个字符的地址是40H,那么是否直接写入40H就可以将光标定位在第二行第一个字符的位置呢?这样不行,因为写入显示地址时要求最高位D7恒定为高电平1,所以实际写入的数据应该是01000000B(40H)+10000000B(80H)=11000000B(C0H)。在对液晶模块的初

5、始化中,要先设置其显示模式,在液晶模块显示字符时光标是自动右移的,无需人工干预。每次输入指令前都要判断液晶模块是否处于忙的状态。1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”因为1602识别的是ASCII码,试验可以用ASCII码直接赋值,编程中还可以用字符型常量或变量赋值,如“A”。1602通过D0D7的8位数据端传输数据和

6、指令。1.1.5 1602LCD的一般初始化(复位)过程延时15mS写指令38H(不检测忙信号)延时5mS写指令38H(不检测忙信号)延时5mS写指令38H(不检测忙信号)以后每次写指令、读/写数据操作均需要检测忙信号写指令38H:显示模式设置写指令08H:显示关闭写指令01H:显示清屏写指令06H:显示光标移动设置写指令0CH:显示开及光标设置1.2 任务1及其原理任务1:更改lcd上显示的字符。通过更改wire 127:0 row1_val,wire 127:0 row2_val的赋值即可,注意保证“”内的字符数包括空格共16位。1.3 任务2及其原理任务2:将“LCD1602驱动模块”文

7、件与“LCD1602驱动测试模块”文件合并成为一个verilog文件。首先,新建一个顶层VerilogHDL文件,将两个文件的输入,内容合并,调试新的模块,然后将管脚连接在一起,从而完成合并。1.4 任务3及其原理任务3:实现液晶屏的某一位完成09的循环变换,并且设置复位键,在循环过程中按下复位键循环可从0重新开始。完成本次的实验想法是将“LCD1602驱动测试模块”文件中wire 127:0 row1_val,wire 127:0 row2_val变量进行改动,将row1_val原本赋予的字符替换为一个变量,设定新的时钟,即循环变换的间隔时间,再通过条件语句控制循环。使能键的控制原理是通过对

8、循环变换的条件进行操作实现的,即当按键使能时循环开始,反之,则从0开始。2. 实验流程图09循环变换流程图3.实验程序3.1 任务1程序 程序更改部分:wire 127:0 row1_val = han xiaodong ; wire 127:0 row2_val = 2009082202 ;3.2 任务2程序 将测试模块中的驱动部分,两个文件的对应命名只留其一,合并两文件,即以下部分 / 例化LCD1602驱动 lcd1602_drive u0( .clk(CLOCK_50M), .rst_n(Q_KEY), .row1_val(row1_val), .row2_val(row2_val),

9、 .lcd_data(LCD1602_DATA), .lcd_e(LCD1602_E), .lcd_rs(LCD1602_RS), .lcd_rw(LCD1602_RW);完整程序请参见附件:13.3 任务3程序 改动模块:LCD1602驱动测试模块,加重处为修改、添加部分module lcd1602_test( input CLOCK_50M, / 板载时钟25MHz input Q_KEY, / 板载按键RST output 7:0 LCD1602_DATA, / LCD1602数据总线 output LCD1602_E, / LCD1602使能 output LCD1602_RS, /

10、LCD1602指令数据选择 output LCD1602_RW, / LCD1602读写选择 output SEL0, / LCD1602读写选择 output SEL1, / LCD1602读写选择 output SEL2 / LCD1602读写选择 input key, /使能控制键 );reg 127:0 row1_val; /将原本的wire型改为reg型,保证其可变wire 127:0 row2_val = ; /将第二行赋值都是空格,实验时显示为空reg 7:0 a; /a变化控制reg 127:0 row1_valreg 3:0 i; reg 25:0 cnt; /分频用reg

11、en; /使能控制assign SEL0 = 1b0; assign SEL1 = 1b0; assign SEL2 = 1b1; always (posedge CLOCK_50M,negedge Q_KEY) if (!Q_KEY) cnt = 0; else cnt = cnt + 1b1;wire lcd_clk = cnt25; /分频模块always (a) begin row1_val7:0=a; end /将a赋给 row1_val7:0的低8位 always (negedge key) begin if (en=0) en=1; else en=0; end /使能控制模块,

12、下降沿有效 always (posedge lcd_clk) if (en) if(i=8) begin a=a+1; i=i+1; end else begin i=0; a=8h30; endelse a=8h30; /通过条件控制语句实现09的循环变换 / 例化LCD1602驱动 lcd1602_drive u0( .clk(CLOCK_50M), .rst_n(Q_KEY), / LCD1602 Input Value .row1_val(row1_val), .row2_val(row2_val), / LCD1602 Interface .lcd_data(LCD1602_DATA), .lcd_e(LCD1602_E)

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 总结/报告

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号