修改计算机控制系统的硬件设计技术课件

上传人:夏** 文档编号:592628976 上传时间:2024-09-21 格式:PPT 页数:135 大小:1.42MB
返回 下载 相关 举报
修改计算机控制系统的硬件设计技术课件_第1页
第1页 / 共135页
修改计算机控制系统的硬件设计技术课件_第2页
第2页 / 共135页
修改计算机控制系统的硬件设计技术课件_第3页
第3页 / 共135页
修改计算机控制系统的硬件设计技术课件_第4页
第4页 / 共135页
修改计算机控制系统的硬件设计技术课件_第5页
第5页 / 共135页
点击查看更多>>
资源描述

《修改计算机控制系统的硬件设计技术课件》由会员分享,可在线阅读,更多相关《修改计算机控制系统的硬件设计技术课件(135页珍藏版)》请在金锄头文库上搜索。

1、第第2 2章章 计算机控制系统的硬件设计技术计算机控制系统的硬件设计技术 2.1 2.1 2.1 2.1 总线技术总线技术总线技术总线技术2.2 2.2 2.2 2.2 总线扩展技术总线扩展技术总线扩展技术总线扩展技术2.3 2.3 2.3 2.3 数字量输入输出接口与过程通道数字量输入输出接口与过程通道数字量输入输出接口与过程通道数字量输入输出接口与过程通道2.4 2.4 2.4 2.4 模拟量输入接口与过程通道模拟量输入接口与过程通道模拟量输入接口与过程通道模拟量输入接口与过程通道 2.5 2.5 2.5 2.5 模拟量输出接口与过程通道模拟量输出接口与过程通道模拟量输出接口与过程通道模拟

2、量输出接口与过程通道2.6 2.6 2.6 2.6 基于串行总线的计算机控制系统硬件技术基于串行总线的计算机控制系统硬件技术基于串行总线的计算机控制系统硬件技术基于串行总线的计算机控制系统硬件技术2.7 2.7 2.7 2.7 硬件抗干扰技术硬件抗干扰技术硬件抗干扰技术硬件抗干扰技术2.1 2.1 总线技术总线技术2.1.1 2.1.1 2.1.1 2.1.1 总线的定义、层次结构及种类总线的定义、层次结构及种类总线的定义、层次结构及种类总线的定义、层次结构及种类 所所所所谓谓谓谓总总总总线线线线,就就就就是是是是计计计计算算算算机机机机各各各各模模模模块块块块之之之之间间间间互互互互联联联联

3、和和和和传传传传送送送送信信信信息息息息(指指指指令令令令、地址和数据)的一组信号线。地址和数据)的一组信号线。地址和数据)的一组信号线。地址和数据)的一组信号线。 以以以以微微微微处处处处理理理理器器器器为为为为核核核核心心心心,总总总总线线线线可可可可以以以以分分分分为为为为内内内内部部部部总总总总线线线线和和和和外外外外部部部部总总总总线线线线,而而而而内部总线又可分为片级总线和系统总线。内部总线又可分为片级总线和系统总线。内部总线又可分为片级总线和系统总线。内部总线又可分为片级总线和系统总线。 片片片片级级级级总总总总线线线线包包包包括括括括数数数数据据据据总总总总线线线线、地地地地址

4、址址址总总总总线线线线、控控控控制制制制总总总总线线线线、I I I I2 2 2 2C C C C总总总总线线线线、SPISPISPISPI总线、总线、总线、总线、SCISCISCISCI总线等;总线等;总线等;总线等; 系统总线包括系统总线包括系统总线包括系统总线包括ISAISAISAISA总线、总线、总线、总线、EISAEISAEISAEISA总线、总线、总线、总线、VESAVESAVESAVESA总线、总线、总线、总线、PCIPCIPCIPCI总线等;总线等;总线等;总线等; 外外外外部部部部总总总总线线线线包包包包括括括括RS-232CRS-232CRS-232CRS-232C、RS

5、-485RS-485RS-485RS-485、IEEE-488IEEE-488IEEE-488IEEE-488、USBUSBUSBUSB等等等等总总总总线线线线。另另另另外外外外,在在在在工工工工业业业业控控控控制制制制中中中中,还还还还定定定定义义义义了了了了其其其其它它它它总总总总线线线线,如如如如:VMEVMEVMEVME、STDSTDSTDSTD、PC-104PC-104PC-104PC-104、Compact PCICompact PCICompact PCICompact PCI等。等。等。等。2.1.2 PC/ISA/EISA2.1.2 PC/ISA/EISA总线简介总线简介1.

6、PC/ISA1.PC/ISA总线的发总线的发展展2.ISA2.ISA信号线定义信号线定义3.EISA3.EISA(Extended Extended Industry Industry Standard Standard ArchitectureArchitecture)2.1.3 PCI/Compact PCI2.1.3 PCI/Compact PCI总线简介总线简介 1.PCI 1.PCI总线的主要性能总线的主要性能 2. 2.其它性能其它性能PCI(Peripheral Component Interconnect)是美国SIG(Special Interest Group of Ass

7、ociation for Computer Machinery)集团推出的64位总线。该总线的最高总线频率为33MHz,数据传输率为80Mby/s(峰值传输率为133Mby/s)。3.PCI3.PCI总线信号定义总线信号定义主控设备主控设备主控设备主控设备49494949条,目标设备条,目标设备条,目标设备条,目标设备47474747条,可选引脚条,可选引脚条,可选引脚条,可选引脚 51 51 51 51条(主条(主条(主条(主要用于要用于要用于要用于64646464位扩展、中断请位扩展、中断请位扩展、中断请位扩展、中断请求、高速缓存支持等),求、高速缓存支持等),求、高速缓存支持等),求、高

8、速缓存支持等),总引脚数总引脚数总引脚数总引脚数 120 120 120 120条(包含电条(包含电条(包含电条(包含电源、地、保留引脚等)。源、地、保留引脚等)。源、地、保留引脚等)。源、地、保留引脚等)。4.Compact PCI4.Compact PCI总线总线2.1.4 2.1.4 其它总线简介其它总线简介 1.PC/104 1.PC/104总线总线 2.PC/104 plus 2.PC/104 plus总线总线 3.STD 3.STD总线总线 (1)STD (1)STD总线信号总线信号 (2)STD32 (2)STD32总线总线2.1.5 2.1.5 串行外部总线简介串行外部总线简介

9、1.RS-232/RS-422/RS-4851.RS-232/RS-422/RS-485串行通信总线串行通信总线 (1 1 1 1)平衡和不平衡传输方式)平衡和不平衡传输方式)平衡和不平衡传输方式)平衡和不平衡传输方式 (2 2 2 2)RS-232CRS-232CRS-232CRS-232C (3 3 3 3)RS-422A/ RS-485RS-422A/ RS-485RS-422A/ RS-485RS-422A/ RS-485(4 4 4 4)RS-485RS-485RS-485RS-485多点互连多点互连多点互连多点互连2.1.5 2.1.5 串行外部总线简介串行外部总线简介2.USB2

10、.USB总线总线 (1 1 1 1)具有热插拔功能)具有热插拔功能)具有热插拔功能)具有热插拔功能 (2 2 2 2)USBUSBUSBUSB采用采用采用采用“级联级联级联级联”方式连接各个外部设备方式连接各个外部设备方式连接各个外部设备方式连接各个外部设备 (3 3 3 3)适用于低速外设连接)适用于低速外设连接)适用于低速外设连接)适用于低速外设连接2.2 2.2 总线扩展技术总线扩展技术2.2.1 2.2.1 微型计算机系统微型计算机系统I/OI/O端口与地址分配端口与地址分配 1.I/O 1.I/O 1.I/O 1.I/O端口及端口及端口及端口及I/OI/OI/OI/O操作操作操作操作

11、 (1 1 1 1)数据端口)数据端口)数据端口)数据端口 (2 2 2 2)状态端口)状态端口)状态端口)状态端口 (3 3 3 3)命令端口)命令端口)命令端口)命令端口 2. I/O 2. I/O 2. I/O 2. I/O端口编址方式端口编址方式端口编址方式端口编址方式 (1 1 1 1)统一编址)统一编址)统一编址)统一编址 (2 2 2 2)独立编址)独立编址)独立编址)独立编址 3.I/O 3.I/O 3.I/O 3.I/O端口地址分配端口地址分配端口地址分配端口地址分配 (1 1 1 1)系统板上的)系统板上的)系统板上的)系统板上的I/OI/OI/OI/O接口接口接口接口 (

12、2 2 2 2)扩展卡上的)扩展卡上的)扩展卡上的)扩展卡上的I/OI/OI/OI/O接口接口接口接口 4.I/O 4.I/O 4.I/O 4.I/O端口地址选用原则端口地址选用原则端口地址选用原则端口地址选用原则2.2.2 I/O2.2.2 I/O端口地址译码技术端口地址译码技术1.1.三种译码方式三种译码方式 (1 1)线选法)线选法 (2 2)全译码法)全译码法 (3 3)部分译码)部分译码2.I/O2.I/O2.I/O2.I/O端口地址译码电路信号端口地址译码电路信号端口地址译码电路信号端口地址译码电路信号3.I/O3.I/O3.I/O3.I/O端口地址译码方法及电路形式端口地址译码方

13、法及电路形式端口地址译码方法及电路形式端口地址译码方法及电路形式 (1 1 1 1)固定地址译码)固定地址译码)固定地址译码)固定地址译码3.I/O3.I/O3.I/O3.I/O端口地址译码方法及电路形式端口地址译码方法及电路形式端口地址译码方法及电路形式端口地址译码方法及电路形式 (2 2 2 2)开关选择译码)开关选择译码)开关选择译码)开关选择译码2.2.3 2.2.3 基于基于ISAISA总线端口扩展总线端口扩展1.1.1.1.板选译码与板选译码与板选译码与板选译码与板内译码板内译码板内译码板内译码2.2.2.2.总线驱动及总线驱动及总线驱动及总线驱动及逻辑控制逻辑控制逻辑控制逻辑控制

14、3.3.3.3.端口及其读端口及其读端口及其读端口及其读写控制写控制写控制写控制2.3 2.3 数字量输入输出接口与过程通道数字量输入输出接口与过程通道2.3.1 2.3.1 数字量输入输出接口技术数字量输入输出接口技术 1. 1. 1. 1.数字量输入接口数字量输入接口数字量输入接口数字量输入接口 2. 2. 2. 2.数字量输出接口数字量输出接口数字量输出接口数字量输出接口2.3.2 2.3.2 数字量输入通道数字量输入通道1.1.1.1.数字量输入通道的结构数字量输入通道的结构数字量输入通道的结构数字量输入通道的结构2.2.2.2.输入调理电路输入调理电路输入调理电路输入调理电路 (1)

15、 (1)小功率输入调理电路小功率输入调理电路 (2) (2)大功率输入调理电路大功率输入调理电路2.3.32.3.3数字量输出通道数字量输出通道1.1.1.1.数字量输出通道的结构数字量输出通道的结构数字量输出通道的结构数字量输出通道的结构2.2.2.2.输出驱动电路输出驱动电路输出驱动电路输出驱动电路 (1) (1) (1) (1)小功率直流驱动电路小功率直流驱动电路小功率直流驱动电路小功率直流驱动电路 功率晶体管输出驱动继电器电路功率晶体管输出驱动继电器电路功率晶体管输出驱动继电器电路功率晶体管输出驱动继电器电路 达林顿阵列输出驱动继电器电路达林顿阵列输出驱动继电器电路达林顿阵列输出驱动继

16、电器电路达林顿阵列输出驱动继电器电路2.3.32.3.3数字量输出通道数字量输出通道2.2.2.2.输出驱动电路输出驱动电路输出驱动电路输出驱动电路 (2) (2) (2) (2)大功率交流驱动电路大功率交流驱动电路大功率交流驱动电路大功率交流驱动电路2.3.4 2.3.4 数字(开关)量输入数字(开关)量输入/ /输出通道模板举例输出通道模板举例图图2-19 PCL-7302-19 PCL-730板卡组成框图板卡组成框图2.3.4 2.3.4 数字(开关)量输入数字(开关)量输入/ /输出通道模板举例输出通道模板举例程序设计举例程序设计举例( (基地址设为基地址设为220H)220H):PC

17、L-730PCL-730板卡的开关量输入板卡的开关量输入/ / 输出都只需要二条指令就可以完成。输出都只需要二条指令就可以完成。C C语言程序如下:语言程序如下:outportb(0x220outportb(0x220,Ox55) Ox55) outportb(Ox221outportb(Ox221,0x55)0x55)inportb(Ox220) inportb(Ox220) inportb(Ox221)inportb(Ox221)汇编语言程序如下:汇编语言程序如下:MOV DXMOV DX, 220H 220HMOV ALMOV AL, 55H 55HOUT DXOUT DX, AL AL

18、MOV DXMOV DX, 221H 221H OUT DXOUT DX, AL ALMOV DXMOV DX, 220H 220HIN ALIN AL, DX DX MOV AHMOV AH, AL ALMOV DXMOV DX, 221H 221HIN ALIN AL, DX DX2.42.4模拟量输入接口与过程通道模拟量输入接口与过程通道2.4.1 2.4.1 2.4.1 2.4.1 模拟量输入通道的组成模拟量输入通道的组成模拟量输入通道的组成模拟量输入通道的组成2.4.2 2.4.2 信号调理和信号调理和I/VI/V变换变换1.1.1.1.信号调理电路信号调理电路信号调理电路信号调理电

19、路信号调理电路主要通过非电量的转换、信号信号调理电路主要通过非电量的转换、信号信号调理电路主要通过非电量的转换、信号信号调理电路主要通过非电量的转换、信号的变换、放大、滤波、线性化、共模抑制及隔离的变换、放大、滤波、线性化、共模抑制及隔离的变换、放大、滤波、线性化、共模抑制及隔离的变换、放大、滤波、线性化、共模抑制及隔离等方法,将非电量和非标准的电信号转换成标准等方法,将非电量和非标准的电信号转换成标准等方法,将非电量和非标准的电信号转换成标准等方法,将非电量和非标准的电信号转换成标准的电信号。信号调理电路是传感器和的电信号。信号调理电路是传感器和的电信号。信号调理电路是传感器和的电信号。信号

20、调理电路是传感器和A/DA/DA/DA/D之间以之间以之间以之间以及及及及D/AD/AD/AD/A和执行机构之间的桥梁,也是测控系统中和执行机构之间的桥梁,也是测控系统中和执行机构之间的桥梁,也是测控系统中和执行机构之间的桥梁,也是测控系统中重要的组成部分。重要的组成部分。重要的组成部分。重要的组成部分。(1 1 1 1)非电信号的检测)非电信号的检测)非电信号的检测)非电信号的检测- - - -不平衡电桥不平衡电桥不平衡电桥不平衡电桥(2 2 2 2)信号放大电路)信号放大电路)信号放大电路)信号放大电路 1) 1) 1) 1)基于基于基于基于ILC7650ILC7650ILC7650ILC

21、7650的前置放大电路的前置放大电路的前置放大电路的前置放大电路2.4.2 2.4.2 信号调理和信号调理和I/VI/V变换变换1.1.1.1.信号调理电路信号调理电路信号调理电路信号调理电路2 2 2 2)AD526AD526AD526AD526可编程仪用放大器可编程仪用放大器可编程仪用放大器可编程仪用放大器AD526AD526AD526AD526是可通过软件对增益进行编程是可通过软件对增益进行编程是可通过软件对增益进行编程是可通过软件对增益进行编程的单端输入的仪用放大器,器件本身所提供的增益是的单端输入的仪用放大器,器件本身所提供的增益是的单端输入的仪用放大器,器件本身所提供的增益是的单端

22、输入的仪用放大器,器件本身所提供的增益是x lx lx lx l、x 2x 2x 2x 2、x x x x 4 4 4 4、x 8x 8x 8x 8、x16x16x16x16等五挡。它是一个完整的包括放大器、电阻网络和等五挡。它是一个完整的包括放大器、电阻网络和等五挡。它是一个完整的包括放大器、电阻网络和等五挡。它是一个完整的包括放大器、电阻网络和TTLTTLTTLTTL数字逻辑电路的器件,使用时不需外加任何元件就可工作。数字逻辑电路的器件,使用时不需外加任何元件就可工作。数字逻辑电路的器件,使用时不需外加任何元件就可工作。数字逻辑电路的器件,使用时不需外加任何元件就可工作。 2.4.2 2.

23、4.2 信号调理和信号调理和I/VI/V变换变换2. I/V2. I/V2. I/V2. I/V变换变换变换变换(1 1 1 1)无源)无源)无源)无源I/VI/VI/VI/V变换变换变换变换 (2 2 2 2)有源)有源)有源)有源I/VI/VI/VI/V变换变换变换变换2.4.3 2.4.3 多路转换器多路转换器 多路转换器又称多路开关,多路开关是用来切换模拟电压信号的关键元件。 图2-27 CD4051原理图2.4.4 2.4.4 采样、量化及采样保持器采样、量化及采样保持器1.1.信号的采样信号的采样2.2.量化量化 所谓量化,就是采用一组数码所谓量化,就是采用一组数码所谓量化,就是采

24、用一组数码所谓量化,就是采用一组数码( ( ( (如二进制码如二进制码如二进制码如二进制码) ) ) )来逼近离散模来逼近离散模来逼近离散模来逼近离散模拟信号的幅值,将其转换为数字信号。将采样信号转换为数字拟信号的幅值,将其转换为数字信号。将采样信号转换为数字拟信号的幅值,将其转换为数字信号。将采样信号转换为数字拟信号的幅值,将其转换为数字信号。将采样信号转换为数字信号的过程称为量化过程,执行量化动作的装置是信号的过程称为量化过程,执行量化动作的装置是信号的过程称为量化过程,执行量化动作的装置是信号的过程称为量化过程,执行量化动作的装置是A/DA/DA/DA/D转换器。转换器。转换器。转换器。

25、 3.3.采样保持器采样保持器(1)(1)(1)(1)孔径时间和孔径误差的消除孔径时间和孔径误差的消除孔径时间和孔径误差的消除孔径时间和孔径误差的消除 (2)(2)(2)(2)采样保持原理采样保持原理采样保持原理采样保持原理 3.3.采样保持器采样保持器(3 3 3 3)常用的采样保持器)常用的采样保持器)常用的采样保持器)常用的采样保持器 常用的集成采样保持器有常用的集成采样保持器有常用的集成采样保持器有常用的集成采样保持器有LF398LF398LF398LF398、AD582AD582AD582AD582等,等,等,等,LF398LF398LF398LF398的采样的采样的采样的采样控制电

26、平为控制电平为控制电平为控制电平为“1”“1”“1”“1”,保持电平为,保持电平为,保持电平为,保持电平为“0”“0”“0”“0”,AD582AD582AD582AD582相反。相反。相反。相反。2.4.5 A/D2.4.5 A/D转换器及其接口技术转换器及其接口技术1. 81. 81. 81. 8位位位位A/DA/DA/DA/D转换器转换器转换器转换器ADC0809ADC0809ADC0809ADC0809 (1) 8 (1) 8 (1) 8 (1) 8通道模拟开关及通道选择逻辑通道模拟开关及通道选择逻辑通道模拟开关及通道选择逻辑通道模拟开关及通道选择逻辑 (2) 8 (2) 8 (2) 8

27、 (2) 8位位位位A/DA/DA/DA/D转换器转换器转换器转换器 (3) (3) (3) (3) 三态输出锁存缓冲器三态输出锁存缓冲器三态输出锁存缓冲器三态输出锁存缓冲器2 2 2 212121212位位位位A/DA/DA/DA/D转换器转换器转换器转换器AD574AAD574AAD574AAD574A (1)12 (1)12 (1)12 (1)12位位位位A/DA/DA/DA/D转换器转换器转换器转换器 (2) (2) (2) (2)三态输出锁存缓冲器三态输出锁存缓冲器三态输出锁存缓冲器三态输出锁存缓冲器 (3) (3) (3) (3)控制逻辑控制逻辑控制逻辑控制逻辑3. AD574A/

28、16743. AD574A/16743. AD574A/16743. AD574A/1674与与与与PCPCPCPC总线工业控制机接口总线工业控制机接口总线工业控制机接口总线工业控制机接口 (2) 8位A/D变换器芯片ADC0809 ADC0809的引脚定义如图所示。它共有28个引脚,其中: D0(2-8)D7(2-1):输出数据线; IN0IN7:8路模拟电压输入端; ADDA,ADDB,ADDC:路地址输入,ADDA是最低位,ADDC是最高位; START:启动信号输入端,下降沿有效; ALE:地址锁存信号,用来锁存ADDAADDC的地址输入,上升沿有效; EOC:变换结束状态信号,高电平

29、表示一次变换已结束; OE:读允许信号,高电平有效; CLK:时钟输入端; VREF(+)、VREF(-):参考电压输入端; VCC:5V电源输入; GND:地。 图7.71 ADC0808引线图图 ADC0809工作时序图 结合图,并假定系统初始化时已将74LS273的Q7初始化为0,则采集程序可如下: ACQ09 :MOV AX,SEGDATA MOV DS,AX MOV SI,OFFSETDATA MOV BL,0 MOV CL,8 GOON:MOV AL,BL MOV DX,007AH OUT DX,AL;送出路地址 OR ALOR AL,80H80H OUT DX OUT DX,AL

30、 AL ;送;送ALEALE上升沿上升沿 AND AL AND AL,7FH7FH OUT DX OUT DX,ALAL;输出;输出STARTSTART NOP NOP MOV DX MOV DX,0079H 0079H PWATPWAT:IN ALIN AL,DXDX;读;读EOCEOC状态状态 AND AL AND AL,01H01H JZ PWAT JZ PWAT MOV DX MOV DX,007AH007AH MOV AL MOV AL,BLBLOR AL,40HOUT DX,AL ;使OE=1MOV DX,0078HIN AL,DX ;读A/D变换器数据MOVSI,AL ;存入内存

31、INC SIINC BLDEC CLJNZ GOON图7.73 ADC0809的一种接口电路 MOV DX,007AHMOV AL,0OUT DX,ALRET n n(3)12 位A/D变换器芯片AD574。n n AD574 的引脚及功能。AD574 变换器的引脚如图8.29所示。图8.29 AD574 的引脚图 各引脚的定义如下:REFOUT:内部参考电源电压输出(10 V)。REFIN:参考电压输入。BIP:偏置电压输入。10VIN:5 V输入或 010 V输入。20VIN:10 V输入或 020 V输入。DB0DB11:高字节为DB8DB11,低字节为DB0DB7。STS:“忙”信号输

32、出,高电平有效。12/8:变换输出字长选择端,输入为高电平时,变换字长输出为12位;输入为低电平时按8位输出。 CS:片选信号。A0:字节地址控制输入,在启动A/D时(R/0),用来控制转换长度。A00 时转换长度为12位,A01时转换长度为8位。在变换数据输出时,在12/0的情况下,A00,输出高8位数据DB4DB11;A01时,输出低4位数据DB0DB3。R/C:数据读输出和转换控制输入。 CE:工作允许信号,高电平有效。15 V、15 V:15 V、15 V电源输入端。AGND:模拟地。DGND:数字地。 AD574的的工作时序。时序。AD574的控制功能如表的控制功能如表8.6所示。所

33、示。 AD574的控制功能的控制功能 A/D变换器芯片内部集成有高精度参考电压形成电路,可满足12位A/D变换的要求。同时,其内部还集成有变换时钟电路,故无需外接时钟。这些都为使用者提供了很大的方便。AD574的一次变换时间大约为1535 s,该时间随型号的不同而有所区别,其变换过程的时序关系如图8.30所示。 图 AD574的工作时序 AD574 的应用。下面对以AD574 芯片构成的A/D变换器电路实例进行说明。通过实例使读者能较清楚地了解设计A/D变换器电路的基本内容和方法。a. AD574的模拟输入电路。 模拟输入电路的极性选择。由AD574 引脚图可知,它有两个模拟电压输入引脚,即

34、10VIN和20VIN,具有10 V和20 V的动态范围。这两个引脚的输入电压可以是单极性的也可以是双极性的,可通过改变输入电路的连接形式来进行选择,如图所示。 输入路数的扩展。一般A/D芯片只有一个或两个模拟输入端。但是,实际的系统往往需要对多路模拟输入信号进行A/D变换。利用多块A/D芯片虽可解决这个问题,但从价格上讲是不可取的。为了充分发挥A/D芯片的作用,可以采用模拟开关来对输入路数进行扩展。图 AD574的模拟电压输入(a) 单极性输入;(b) 双极性输入 模拟开关有多个模拟输入端和一个模拟输出端。在某一时模拟开关有多个模拟输入端和一个模拟输出端。在某一时刻究竟哪一个输入端和输出端相

35、通取决于路地址输入端刻究竟哪一个输入端和输出端相通取决于路地址输入端的输入状态。例如,的输入状态。例如,H1508 H1508 是一个是一个8 8路的模拟开关,如路的模拟开关,如图图8.328.32所示。它有所示。它有 8 8 路模拟输入端路模拟输入端IN0IN0IN7IN7,1 1 个模拟个模拟输出端输出端OUTOUT,3 3个路地址输入端个路地址输入端A0A0A2A2和一个选通端和一个选通端ENEN。当。当ENEN1 1,A2A1A0A2A1A0000B000B时,时,IN0 IN0 输入端和输入端和OUTOUT输出端接通。同理,当输出端接通。同理,当ENEN1 1,A2A1A0A2A1A

36、0001B001B时,时,IN1IN1与与OUTOUT接通。当接通。当ENEN0 0时,时,OUTOUT为高阻。这样,只要将为高阻。这样,只要将输出端输出端OUTOUT和和AD574AD574的模拟输入端相连接,在变换前给的模拟输入端相连接,在变换前给H1508H1508送一个送一个ENEN有效和路地址信号,则可对相应路的模有效和路地址信号,则可对相应路的模拟输入信号进行拟输入信号进行A/DA/D变换,从而将变换,从而将1 1路模拟输入扩展为路模拟输入扩展为8 8路模拟输入。路模拟输入。 如想扩展成64路,则在该H1508 的各输入端IN0IN7上再各接一块H1508,将每个输入端再扩展为8路

37、就可以了。这样一来, 9块H1508就可以将一路模拟输入扩展为 64路模拟输入。请读者注意,这种扩展并不是可以无限延伸的。每个模拟开关在导通时都是有内阻的,串联级数多了,内阻相应就会增大,精度也就随之降低。一般的串联不要超过两级。图 8路模拟开关H1508引脚图 采样保持电路。采样保持电路。A/DA/D变换器从变换开始到结束需要一变换器从变换开始到结束需要一段时间,这段时间的长短随各种变换器速度的不同而不段时间,这段时间的长短随各种变换器速度的不同而不同。在变换器工作期间一般要求输入电压保持不变,否同。在变换器工作期间一般要求输入电压保持不变,否则就会造成不必要的误差。为此,在则就会造成不必要

38、的误差。为此,在A/DA/D变换器输入端变换器输入端之前总要插入一个采样保持电路,如图之前总要插入一个采样保持电路,如图8.338.33所示。在启所示。在启动变换器时,对模拟输入电压进行采样,采样保持电路动变换器时,对模拟输入电压进行采样,采样保持电路的输出就一直保持采样时的电压不变,从而为的输出就一直保持采样时的电压不变,从而为A/DA/D变换变换器的输入端提供一个稳定的模拟输入电压。当然,采样器的输入端提供一个稳定的模拟输入电压。当然,采样保持电路的电压保持时间是有限的,但与变换时间相比,保持电路的电压保持时间是有限的,但与变换时间相比,已是足够长了。已是足够长了。显然,若在显然,若在A/

39、DA/D变换时间内,模拟输入信号的变化对变换时间内,模拟输入信号的变化对所要求的精度产生的影响可以忽略,则可以不用采样保所要求的精度产生的影响可以忽略,则可以不用采样保持电路。持电路。 滤波电容的连接。为了平滑输入模拟电压和减小干扰,在A/D变换器的模拟输入端与地之间通常接有一个滤波电容。其电容值的大小应不至于对正常变化产生太大影响,即由模拟信号源内阻与该滤波电容所构成的时间常数的倒数,应大于模拟信号中有用分量的最高频率分量。例如,模拟信号的最高频率分量为2 kHz,那么该时常数应选择为: 图 采样保持电路的连接 另外,滤波电容的连接点也应该仔细选择,否则会造成很大的人另外,滤波电容的连接点也

40、应该仔细选择,否则会造成很大的人为误差。一般应接在模拟信号输入的最外端。例如,在图为误差。一般应接在模拟信号输入的最外端。例如,在图8.328.32中,中,我们可以将滤波电容接在我们可以将滤波电容接在H1508H1508的的OUTOUT端,也可以接在端,也可以接在H1508H1508的的IN0IN0IN7IN7各输入端。前者只要接各输入端。前者只要接1 1个,后者却要接个,后者却要接8 8个。个。 到底哪到底哪一种接法好一种接法好? ? 在前一种情况下,假设在前一种情况下,假设IN0 IN0 输入电压为输入电压为5 V5 V,IN1IN1的的输入电压为输入电压为0 V0 V, 当对当对IN0

41、IN0 路的输入进行路的输入进行A/DA/D变换时,接于变换时,接于OUTOUT端的滤波电容被充电至端的滤波电容被充电至5 V5 V。当。当IN0IN0路变换结束,紧接着对路变换结束,紧接着对IN1IN1路路进行变换时,由于滤波电容上已充有进行变换时,由于滤波电容上已充有5 V5 V电压,要放电到电压,要放电到 0 V 0 V电压电压需要一定的时间,因此很可能在没有放电到需要一定的时间,因此很可能在没有放电到0 V0 V时时A/DA/D变换器已经变换器已经启动,从而对启动,从而对IN1IN1路的输入变换精度带来不利的影响。如果滤波路的输入变换精度带来不利的影响。如果滤波电容按第二种情况连接,就

42、不会产生这种不利的影响。电容按第二种情况连接,就不会产生这种不利的影响。 b. AD574 与CPU的连接。AD574是12位A/D变换器,它可以和16位CPU相连接,也可以和8位的CPU相连接。只要适当地改变AD574某些控制引脚的接法就可以实现上述要求。AD574 可以通过简单的三态门、锁存器接口与微机的系统总线相连接,也可以通过可编程接口(如8255)与系统总线相连接。由表8.6可见,AD574可以工作在8位,也可以工作在12位。下面就以8255为接口芯片,将工作于12位下的AD574 接到8位ISA系统总线上,其连接如图8.34所示。 图中,简化的连接可使CE和12/8恒为高电平,而使

43、CS和A0接地。此时只用R/来启动,查询STS状态可判断变换是否完成。对应图8.34的采集变换程序如下: ;对 8255 初始化,此段程序放在应用程序开始的位置上INTI55:MOV DX,0063HMOV AL,10011010BOUT DX,AL ;控制字写入 8255 的CRMOV AL,00000001BOUT DX,AL;位控方式,使PC01;以下是对输入信号进行一次变换的程序ACQUQ:MOV DX,0062H MOV AL,00H OUT DX,ALMOV AL,01HOUT DX,AL;由PC0输出负R/脉冲启动变换NOP NOPWAITS:IN AL,DX ;取STS状态AN

44、D AL,80H;判断变换结束否?JNZ WAITS;未结束等待MOV DX,0060HINAL,DX;读A口,取得A/D变换低8位MOV BL,ALMOV DX,0061HIN AL,DXAND AL,0FH;读B口,取得高4位MOV BH,ALRET 图 AD574经8255与8位ISA系统总线相连接 3. AD574A/16743. AD574A/1674与与PCPC总线工业控制机接口总线工业控制机接口2.4.6 2.4.6 模拟量输入通道模板举例模拟量输入通道模板举例图2-36 PCL-813B数据采集卡组成框图 2.4.6 2.4.6 模拟量输入通道模板举例模拟量输入通道模板举例1.

45、PCL-813B 的寄存器地址2.程序设计举例 PCL-813B A/D 转换基于查询方式,由软件触发。A/D 转换器被触发后,利用程序检查A/D状态寄存器的数据准备位(DRDY )。如果检测到该位为“1”,则A/D 转换正在进行。当A/D 转换完成后;该位变为低电平,此时转换数据可由程序读出。 2.5 2.5 模拟量输出接口与过程通道模拟量输出接口与过程通道2.5.1 2.5.1 模拟量输出通道的结构型式模拟量输出通道的结构型式 1. 1. 1. 1.一个通道设置一个数一个通道设置一个数一个通道设置一个数一个通道设置一个数/ / / /模转换器的形式模转换器的形式模转换器的形式模转换器的形式

46、 2. 2. 2. 2.多个通道共用一个数多个通道共用一个数多个通道共用一个数多个通道共用一个数/ / / /模转换器的形式模转换器的形式模转换器的形式模转换器的形式2.5.2 D/A2.5.2 D/A转换器及其接口技术转换器及其接口技术1 18 8位位D/AD/A转换器与微机的接口设计转换器与微机的接口设计(1 1)8 8位位D/AD/A转换器转换器 普通型普通型D/AD/A转换器转换器DAC0832DAC0832。n nDAC0832DAC0832是美国国家半导体公司(是美国国家半导体公司(NationalNational)生产)生产的的8 8位位D/AD/A转换集成芯片,能完成数字量输入

47、模拟转换集成芯片,能完成数字量输入模拟量(电流)输出的转换。单电源供电,从量(电流)输出的转换。单电源供电,从+5V+5V+15V+15V均可正常工作,基准电压的范围为均可正常工作,基准电压的范围为10V10V,电,电流建立时间为流建立时间为1s1s,CMOSCMOS工艺,低功耗工艺,低功耗20mW20mW。其价。其价格低廉、接口简单、转换控制容易等优点,在单格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到了广泛的应用。片机应用系统中得到了广泛的应用。DAC0832DAC0832的原理框图的原理框图 DAC0832DAC0832可以通过对控制引脚的不同设置而决可以通过对控制引脚的不

48、同设置而决定是采用双缓冲方式(两级输入锁存),单缓冲定是采用双缓冲方式(两级输入锁存),单缓冲方式(两级同时输入锁存或只用一级输入锁存,方式(两级同时输入锁存或只用一级输入锁存,另一级始终直通)还是完全接成直通的形式。另一级始终直通)还是完全接成直通的形式。 DAC0832DAC0832采用采用2020引脚双列直插式封装引脚双列直插式封装. .DAC0832DAC0832的各个引脚功能如下。的各个引脚功能如下。n nDI0DI0DI7DI7:8 8位数据输入线。位数据输入线。n nI ILELE:数据允许锁存信号,高电平有效。:数据允许锁存信号,高电平有效。n n/CS /CS :输入寄存器选

49、择信号,低电平有效。它与:输入寄存器选择信号,低电平有效。它与ILEILE信号结合可对信号是否起作用进行控制。信号结合可对信号是否起作用进行控制。n n/WR1 /WR1 :输入寄存器的写选通信号,低电平有效,:输入寄存器的写选通信号,低电平有效,用以把数字量输入锁存于输入寄存器中,在用以把数字量输入锁存于输入寄存器中,在/WR1 /WR1 有效时,必须有效时,必须 /CS /CS和和ILEILE同时有效。同时有效。n n/XFER/XFER:数据传送信号,低电平有效。:数据传送信号,低电平有效。n n/WR2 /WR2 :DACDAC寄存器的写选通信号,低电平有效,寄存器的写选通信号,低电平

50、有效,用以将锁存于输入寄存器的数字量传送到用以将锁存于输入寄存器的数字量传送到D/AD/A寄存寄存器中锁存。器中锁存。/WR2 /WR2 有效时,必须有效时,必须/XFER/XFER有效。有效。n nI IOUT1OUT1:电流输出引脚:电流输出引脚1 1。随。随DACDAC寄存器的内容线性变化,寄存器的内容线性变化,当当DACDAC寄存器输入全为寄存器输入全为1 1时,输出电流最大,时,输出电流最大,DACDAC寄存寄存器输入全为器输入全为0 0时,输出电流为时,输出电流为0 0。n nI IOUT2OUT2:电流输出引脚:电流输出引脚2 2,为,为I IOUT1OUT1电流互补输出,即电流

51、互补输出,即I IOUT1OUT1+ I+ IOUT2OUT2= =常数。常数。n nR Rfbfb:反馈电阻连接端。可以和外接运算放大器直接:反馈电阻连接端。可以和外接运算放大器直接相连。该运算放大器是将相连。该运算放大器是将D/AD/A芯片电流输出转换为电芯片电流输出转换为电压输出压输出V VOUTOUT。n nV VREFREF:基准电源输入引脚。外接电压源的稳定精度直:基准电源输入引脚。外接电压源的稳定精度直接影响接影响D/AD/A转换精度,范围为转换精度,范围为-10V+10V-10V+10V。n nV VCCCC:电源电压输入端,范围为:电源电压输入端,范围为+5V+15V+5V+

52、15V。n nDGNDDGND:数字地。:数字地。n nAGNDAGND:模拟地。模拟量电路的接地端始终与数字电:模拟地。模拟量电路的接地端始终与数字电路接地端相连。路接地端相连。DAC0832DAC0832的输出方式分为单极性输出和双极性输出两的输出方式分为单极性输出和双极性输出两种。种。单极性电压输出电路单极性电压输出电路:双极性电压输出双极性电压输出:2.5.2 D/A2.5.2 D/A转换器及其接口技术转换器及其接口技术1. 81. 8位位D/AD/A转换器接口转换器接口2. 122. 12位位D/AD/A转换器接口转换器接口2.5.3 2.5.3 单极性与双极性电压输出电路单极性与双

53、极性电压输出电路8 8位位D/AD/A转换器与微机的接口及程序设计方法:转换器与微机的接口及程序设计方法:DAC0832DAC0832与与80C5180C51单片机的双缓冲方式接口电路:单片机的双缓冲方式接口电路: 第一级数据锁存器的地址为第一级数据锁存器的地址为BFFFHBFFFH,第二级,第二级DACDAC寄存器寄存器的地址为的地址为7FFFH7FFFH。可以看出数字量的输入锁存和。可以看出数字量的输入锁存和D/AD/A转换输转换输出是分两步完成的。出是分两步完成的。 该接口电路采用单极性输出方式,参考电压该接口电路采用单极性输出方式,参考电压=-5V=-5V,若想输出电压若想输出电压=2

54、.5V=2.5V,则对应的输入数字量应为,则对应的输入数字量应为80H80H。实现。实现输出输出2.5V2.5V电压的程序如下:电压的程序如下: START: MOV A, #80HSTART: MOV A, #80H;待转换的数字量;待转换的数字量 MOV DPTR, #0BFFFH MOV DPTR, #0BFFFH;将数字量送入输;将数字量送入输入锁存器入锁存器 MOVX DPTR, A MOVX DPTR, A MOV DPTR, #7FFFH MOV DPTR, #7FFFH;将输入数字量送;将输入数字量送入入DACDAC寄存器寄存器 MOVX DPTR, A MOVX DPTR,

55、A;完成;完成D/AD/A转换转换 如果有多路如果有多路D/AD/A转换器接口,要求同步进行转换器接口,要求同步进行D/AD/A转换输出时,必须采用双缓冲同步方式的接口转换输出时,必须采用双缓冲同步方式的接口电路,电路如图电路,电路如图: :完成两路完成两路D/AD/A转换器的同步输出的程序如下:转换器的同步输出的程序如下:START: MOVSTART: MOVDPTR, #0DFFFHDPTR, #0DFFFH;指向;指向DAC0832DAC0832(1 1) MOVMOVA, #DATA1A, #DATA1;#DATA1#DATA1送入送入DAC0832DAC0832(1 1)的)的 输

56、入锁存器输入锁存器 MOVXMOVXDPTR, ADPTR, A MOVMOVDPTR, #0BFFFHDPTR, #0BFFFH;指向;指向DAC0832DAC0832(2 2) MOVMOVA, #DATA2A, #DATA2;#DATA2#DATA2送入送入DAC0832DAC0832(2 2)的)的 输入锁存器输入锁存器 MOVXMOVXDPTR, ADPTR, A MOVMOVDPTR, #7FFFHDPTR, #7FFFH;DAC0832DAC0832(1 1)和)和DAC0832DAC0832 (2 2)同时完成)同时完成 MOVXMOVXDPTR, ADPTR, A;D/AD/

57、A转换转换 若应用系统中只有一路若应用系统中只有一路D/AD/A转换器或虽然是多路转换,转换器或虽然是多路转换,但并不要求同步输出时,则可以采用单缓冲方式接口电路,但并不要求同步输出时,则可以采用单缓冲方式接口电路,如图所示如图所示: :执行下面的几条指令就能完成一次执行下面的几条指令就能完成一次D/AD/A转换:转换: MOV MOV DPTR, #0DFFFH DPTR, #0DFFFH ;指向;指向DAC0832DAC0832MOV A, #DATAMOV A, #DATA ;数字量先装入累加器;数字量先装入累加器A AMOVXMOVXDPTR, ADPTR, A ;完成一次;完成一次D

58、/AD/A输入与转输入与转换换2.5.4 V/I2.5.4 V/I变换变换1.1.集成集成V/IV/I转换器转换器ZF2B20ZF2B202.2.集成集成V/IV/I转换器转换器AD694AD6942.5.5 2.5.5 模拟量输出通道模板举例模拟量输出通道模板举例图2-47 PCL-726板卡组成框图2. D/A 转换程序流程D/A 转换程序流程如下(以通道1为例):(1)选择通道地址n=1(n=16)。(2)确定D/A高4位数据地址(基地址+00)。(3)置 D/A高4位数据(D3DO 有效 )。(4)确定D/A低8位数据地址(基地址+01)。(5)置 D/A低8位数据并启动转换。3. 程

59、序设计举例PCL-726 的D/A 输出、数字量输入等操作均不需要状态查询,分辨率为12位,000H0FFFH分别对应输出0%100%,若输出50%,则对应的输出数字量为7FFH,设基地址为220H,D/A通道l输出50%的程序如下:C语言参考程序段如下:outportb ( 0x220 , 0x07 ) / D/A 通道l 输出50% outportb ( 0x221 , 0xff ) 汇编语言参考程序如下:(基地址为220H ):MOV AL, 07H ;D/A 通道l 输出50% MOV DX, 0220H OUT DX, ALMOV DX, 0221H MOV AL, 0FFH2.6

60、2.6 基于串行总线的计算机控制系统硬件技术基于串行总线的计算机控制系统硬件技术 基于RS-485的分布式测控系统结构图 RS-485串行总线由于平衡差分传输特性具有的干扰性好、传输距离远 、有较大级联能力等特点,非常适合于组成工业级的多机通信系统。在各种工业仪器、仪表大量使用的今天,RS-485总线得到了广泛的应用。 2.6.1 2.6.1 智能远程智能远程I/OI/O模块模块智能远程I/O模块是传感器和执行机构到计算机的多功能远程I/O单元,专为恶劣环境下的可靠操作而设计,具有内置的微处理器,严格的工业级塑料外壳,使其可以独立提供智能信号调理、I/O隔离、模拟量I/O、数字量I/O、数据显

61、示和串行数字通信接口。远程I/O模块可以安装在现场,就地完成A/D、D/A转换、I/O操作及脉冲量的计数、累计等操作,以通信方式和计算机交换信息,构成数据采集控制系统。通过采用RS-485中继器,可以将多达256个远程模块连接到RS-485网络上,或者将最大通信距离延伸到10km。典型的远程I/O模块有研华公司的ADAM-4000系列、研发公司的DAC-8000系列、研祥公司的Ark-14000系列以及威达公司的牛顿-7000系列。 1. ADAM-40001. ADAM-40001. ADAM-40001. ADAM-4000系列模块系列模块系列模块系列模块 ADAM 4000 ADAM 4

62、000 ADAM 4000 ADAM 4000系列模块的功能特点:系列模块的功能特点:系列模块的功能特点:系列模块的功能特点: (1) (1) (1) (1) 远端可编程输入范围远端可编程输入范围远端可编程输入范围远端可编程输入范围 (2) (2) (2) (2) 内置看门狗内置看门狗内置看门狗内置看门狗 (3) (3) (3) (3) 网络配置灵活网络配置灵活网络配置灵活网络配置灵活 (4) (4) (4) (4) 可选的独立控制策略可选的独立控制策略可选的独立控制策略可选的独立控制策略 (5) (5) (5) (5) 模块化的工业设计模块化的工业设计模块化的工业设计模块化的工业设计 (6)

63、 (6) (6) (6) 满足工业环境的需要满足工业环境的需要满足工业环境的需要满足工业环境的需要2.ADAM-50002.ADAM-50002.ADAM-50002.ADAM-5000系列系列系列系列ADAM-4000ADAM-4000ADAM-4000ADAM-4000通过通过通过通过RS-485RS-485RS-485RS-485总线与主站连接成一个主从式测控系总线与主站连接成一个主从式测控系总线与主站连接成一个主从式测控系总线与主站连接成一个主从式测控系统,可以实现点对点通信和广播通信统,可以实现点对点通信和广播通信统,可以实现点对点通信和广播通信统,可以实现点对点通信和广播通信( (

64、 ( (仅有的模块允许广播通信仅有的模块允许广播通信仅有的模块允许广播通信仅有的模块允许广播通信) ) ) )。一条。一条。一条。一条RS-485RS-485RS-485RS-485通信链路所连接的模块数是有限的,当需要配置通信链路所连接的模块数是有限的,当需要配置通信链路所连接的模块数是有限的,当需要配置通信链路所连接的模块数是有限的,当需要配置更多的模块数时,可以使用更多的模块数时,可以使用更多的模块数时,可以使用更多的模块数时,可以使用ADAM-4510ADAM-4510ADAM-4510ADAM-4510中继器,每个中继器,每个中继器,每个中继器,每个ADAM-4510ADAM-451

65、0ADAM-4510ADAM-4510中继器可再增加中继器可再增加中继器可再增加中继器可再增加32323232个模块或将网络再延伸个模块或将网络再延伸个模块或将网络再延伸个模块或将网络再延伸1200120012001200米,一条米,一条米,一条米,一条RS-485RS-485RS-485RS-485通信链路最多可以连接通信链路最多可以连接通信链路最多可以连接通信链路最多可以连接256256256256个个个个ADAM-4000ADAM-4000ADAM-4000ADAM-4000系列模块。系列模块。系列模块。系列模块。 ADAM-5000ADAM-5000ADAM-5000ADAM-5000

66、系列具有以下功能特点:系列具有以下功能特点:系列具有以下功能特点:系列具有以下功能特点: (1) (1) (1) (1) 系统设计灵活系统设计灵活系统设计灵活系统设计灵活 (2) (2) (2) (2) 系统维护及故障处理系统维护及故障处理系统维护及故障处理系统维护及故障处理 (3) (3) (3) (3) 易于安装及组网易于安装及组网易于安装及组网易于安装及组网 (4) (4) (4) (4) 数据采集及控制数据采集及控制数据采集及控制数据采集及控制 (5) (5) (5) (5) 三端隔离三端隔离三端隔离三端隔离 (6) (6) (6) (6) 看门狗定时器看门狗定时器看门狗定时器看门狗定

67、时器 (7) (7) (7) (7) 内置诊断器内置诊断器内置诊断器内置诊断器 (8) (8) (8) (8) 远程配置远程配置远程配置远程配置 (9) (9) (9) (9) 能独立于能独立于能独立于能独立于PCPCPCPC主机进行主机进行主机进行主机进行ON/OFFON/OFFON/OFFON/OFF控制控制控制控制3. ADAM-60003. ADAM-60003. ADAM-60003. ADAM-6000系列模块系列模块系列模块系列模块ADAM-6000ADAM-6000ADAM-6000ADAM-6000系列产品是基于系列产品是基于系列产品是基于系列产品是基于EthernetEth

68、ernetEthernetEthernet的数据采集和控制模块,的数据采集和控制模块,的数据采集和控制模块,的数据采集和控制模块,它们集数据采集和网络传输能力于一身。使用这些模块可以轻而它们集数据采集和网络传输能力于一身。使用这些模块可以轻而它们集数据采集和网络传输能力于一身。使用这些模块可以轻而它们集数据采集和网络传输能力于一身。使用这些模块可以轻而易举的建立低成本、适应于各个行业的基于易举的建立低成本、适应于各个行业的基于易举的建立低成本、适应于各个行业的基于易举的建立低成本、适应于各个行业的基于EthernetEthernetEthernetEthernet的数据采集的数据采集的数据采集

69、的数据采集和控制系统。通过标准的以太网,和控制系统。通过标准的以太网,和控制系统。通过标准的以太网,和控制系统。通过标准的以太网,ADAM-6000ADAM-6000ADAM-6000ADAM-6000模块可以实时的将模块可以实时的将模块可以实时的将模块可以实时的将来自传感器的数据发送到局域网来自传感器的数据发送到局域网来自传感器的数据发送到局域网来自传感器的数据发送到局域网/ / / /以太网结点上。以太网类产品以太网结点上。以太网类产品以太网结点上。以太网类产品以太网结点上。以太网类产品因为其远距离的数据传输能力,高速的数据通信能力正在成为工因为其远距离的数据传输能力,高速的数据通信能力正

70、在成为工因为其远距离的数据传输能力,高速的数据通信能力正在成为工因为其远距离的数据传输能力,高速的数据通信能力正在成为工业应用的主导。业应用的主导。业应用的主导。业应用的主导。 (1 1 1 1)模拟量输入)模拟量输入)模拟量输入)模拟量输入/ / / /输出模块输出模块输出模块输出模块(2 2 2 2)数字量输入)数字量输入)数字量输入)数字量输入/ / / /输出模块输出模块输出模块输出模块(3 3 3 3)继电器输出模块)继电器输出模块)继电器输出模块)继电器输出模块(4 4 4 4)ADAMADAMADAMADAM以太网模块的应用软件以太网模块的应用软件以太网模块的应用软件以太网模块的

71、应用软件(1 1 1 1)模拟量输入)模拟量输入)模拟量输入)模拟量输入/ / / /输出模块输出模块输出模块输出模块 模拟量输入模块通过为模拟量输入模块通过为模拟量输入模块通过为模拟量输入模块通过为A/DA/DA/DA/D提供的光电隔离和提供的光电隔离和提供的光电隔离和提供的光电隔离和3000V3000V3000V3000V变压器隔离防止对地环变压器隔离防止对地环变压器隔离防止对地环变压器隔离防止对地环路路路路/ / / /浪涌电压对设备造成损坏。浪涌电压对设备造成损坏。浪涌电压对设备造成损坏。浪涌电压对设备造成损坏。 ADAM-6015ADAM-6015ADAM-6015ADAM-6015

72、是是是是16161616位,位,位,位,6 6 6 6通道热电阻输入模块,各通道输入范围可调。可以连通道热电阻输入模块,各通道输入范围可调。可以连通道热电阻输入模块,各通道输入范围可调。可以连通道热电阻输入模块,各通道输入范围可调。可以连接接接接Pt100, Pt1000Pt100, Pt1000Pt100, Pt1000Pt100, Pt1000,Balco 500Balco 500Balco 500Balco 500或者或者或者或者Ni50Ni50Ni50Ni50,Ni508Ni508Ni508Ni508热电阻。以工程单位形式向主机热电阻。以工程单位形式向主机热电阻。以工程单位形式向主机热

73、电阻。以工程单位形式向主机发送数据。发送数据。发送数据。发送数据。ADAM-6017ADAM-6017ADAM-6017ADAM-6017是是是是16161616位位位位8 8 8 8通道差分模拟量输入模块,通道输入范围均可程控。通道差分模拟量输入模块,通道输入范围均可程控。通道差分模拟量输入模块,通道输入范围均可程控。通道差分模拟量输入模块,通道输入范围均可程控。 ADAM-6018ADAM-6018ADAM-6018ADAM-6018是是是是16161616位位位位8 8 8 8通道热电偶输入模块,所有通道的输入范围均可程控。通道热电偶输入模块,所有通道的输入范围均可程控。通道热电偶输入模

74、块,所有通道的输入范围均可程控。通道热电偶输入模块,所有通道的输入范围均可程控。 ADAM-6024ADAM-6024ADAM-6024ADAM-6024是是是是3 3 3 3个模拟量输入个模拟量输入个模拟量输入个模拟量输入/1/1/1/1个模拟量输出。个模拟量输出。个模拟量输出。个模拟量输出。(2 2 2 2)数字量输入)数字量输入)数字量输入)数字量输入/ / / /输出模块输出模块输出模块输出模块 ADAM-6050ADAM-6050ADAM-6050ADAM-6050具有具有具有具有12121212个数字量输入,个数字量输入,个数字量输入,个数字量输入,6 6 6 6个输出通道,并且为

75、以太网的无缝连接个输出通道,并且为以太网的无缝连接个输出通道,并且为以太网的无缝连接个输出通道,并且为以太网的无缝连接提供了提供了提供了提供了10/100 Base-T10/100 Base-T10/100 Base-T10/100 Base-T接口。接口。接口。接口。 ADAM-6051ADAM-6051ADAM-6051ADAM-6051提供提供提供提供12121212路数字量输入,路数字量输入,路数字量输入,路数字量输入,2 2 2 2路数字量输出和路数字量输出和路数字量输出和路数字量输出和2 2 2 2个计数器(个计数器(个计数器(个计数器(10MHz10MHz10MHz10MHz时基

76、)时基)时基)时基)并且为以太网的无缝连接提供了并且为以太网的无缝连接提供了并且为以太网的无缝连接提供了并且为以太网的无缝连接提供了10/100 Base-T10/100 Base-T10/100 Base-T10/100 Base-T接口。接口。接口。接口。(3 3 3 3)继电器输出模块)继电器输出模块)继电器输出模块)继电器输出模块 ADAM-6060ADAM-6060ADAM-6060ADAM-6060提供提供提供提供6 6 6 6路继电器输出,路继电器输出,路继电器输出,路继电器输出,6 6 6 6路模拟量输入,并且为以太网的无缝连路模拟量输入,并且为以太网的无缝连路模拟量输入,并且

77、为以太网的无缝连路模拟量输入,并且为以太网的无缝连接提供了接提供了接提供了接提供了10/100 Base-T10/100 Base-T10/100 Base-T10/100 Base-T接口。除了以太网口,内置网页,接口。除了以太网口,内置网页,接口。除了以太网口,内置网页,接口。除了以太网口,内置网页,ADAM-6050ADAM-6050ADAM-6050ADAM-6050还提供了还提供了还提供了还提供了6 6 6 6路继电器输出和路继电器输出和路继电器输出和路继电器输出和6 6 6 6路模拟量输入。路模拟量输入。路模拟量输入。路模拟量输入。 (3 3 3 3)ADAMADAMADAMADA

78、M以太网模块的应用软件以太网模块的应用软件以太网模块的应用软件以太网模块的应用软件ADAM-6000ADAM-6000ADAM-6000ADAM-6000系列模块使用集成的专用应用软件工具进行系统配置,应用软系列模块使用集成的专用应用软件工具进行系统配置,应用软系列模块使用集成的专用应用软件工具进行系统配置,应用软系列模块使用集成的专用应用软件工具进行系统配置,应用软件名称为:件名称为:件名称为:件名称为:ADAM-5000TCP/6000 Utility ProgramADAM-5000TCP/6000 Utility ProgramADAM-5000TCP/6000 Utility Pro

79、gramADAM-5000TCP/6000 Utility Program,该工具同时支持,该工具同时支持,该工具同时支持,该工具同时支持ADAM-ADAM-ADAM-ADAM-5000/TCP5000/TCP5000/TCP5000/TCP和和和和ADAM-6000ADAM-6000ADAM-6000ADAM-6000模块,提供了图形化的界面来方便用户的配置工作,同时模块,提供了图形化的界面来方便用户的配置工作,同时模块,提供了图形化的界面来方便用户的配置工作,同时模块,提供了图形化的界面来方便用户的配置工作,同时也可以方便的用来监控远端的也可以方便的用来监控远端的也可以方便的用来监控远端的

80、也可以方便的用来监控远端的DA&CDA&CDA&CDA&C系统。系统。系统。系统。2.6.2 2.6.2 智能调节器智能调节器智能调节器一般具有智能调节器一般具有RS-485RS-485数字通信接口,除了数字通信接口,除了在控制系统中作为常规的单机控制器使用外,在现代在控制系统中作为常规的单机控制器使用外,在现代工业控制中还可以作组态使用,常常与上位机一起使工业控制中还可以作组态使用,常常与上位机一起使用构成计算机监督控制系统。常用的智能调节器国外用构成计算机监督控制系统。常用的智能调节器国外的品牌有:的品牌有:SHIMADENSHIMADEN(日本岛电)、(日本岛电)、YAKOGAWAYAK

81、OGAWA(日本(日本横河)、横河)、HONEWELLHONEWELL(美国霍尼韦尔)、(美国霍尼韦尔)、OMRONOMRON(日本(日本欧姆龙)以及欧姆龙)以及RKCRKC(日本理化)等;国内的品牌有:(日本理化)等;国内的品牌有:厦门宇电自动化科技有限公司(厦门宇光)的厦门宇电自动化科技有限公司(厦门宇光)的AIAI系列系列 1.1.1.1.硬件构成硬件构成硬件构成硬件构成: : : :单回路数字调节器单回路数字调节器单回路数字调节器单回路数字调节器(Single Strategy Controller(Single Strategy Controller(Single Strategy

82、Controller(Single Strategy Controller,简称,简称,简称,简称SSC)SSC)SSC)SSC) SSCSSC的硬件主要由的硬件主要由MPUMPU单元、过程单元、过程I/OI/O单元、单元、PIAPIA单元、面板单单元、面板单元、编程单元、通信单元和硬手操单元等组成。元、编程单元、通信单元和硬手操单元等组成。MPUMPU单元是调节器的核心,它包括微处理器单元是调节器的核心,它包括微处理器( (或单片微机或单片微机) )、系、系统存储器统存储器(PROM/EPROM(PROM/EPROM、EAPROMEAPROM、RAM)RAM)、时钟、时钟、WatchdogW

83、atchdog和接口电和接口电路等。路等。PROM/EPROMPROM/EPROM中固化有调节器的监控程序和功能程序。监控中固化有调节器的监控程序和功能程序。监控程序负责面板程序负责面板( (键盘、显示器等键盘、显示器等) )管理和巡回采样控制等。功能程管理和巡回采样控制等。功能程序即各种运算、控制、通信子程序序即各种运算、控制、通信子程序( (模块模块) )的集合。的集合。EAPROMEAPROM用来存用来存放系统组态程序。系统组态程序是根据系统控制流程,抽取所需放系统组态程序。系统组态程序是根据系统控制流程,抽取所需的运算、控制模块的运算、控制模块( (固化在固化在PROM/EPROMPR

84、OM/EPROM中中) )进行软连接而形成的。进行软连接而形成的。系统组态用编程单元完成,组态结果即系统组态程序写入系统组态用编程单元完成,组态结果即系统组态程序写入EAPROMEAPROM。有些单回路数字调节器的系统组态程序是固化在有些单回路数字调节器的系统组态程序是固化在EPROMEPROM中。中。PIA PIA (Peripheral Interface AdapterPeripheral Interface Adapter)单元是过程)单元是过程I/OI/O单元、单元、键盘及显示单元与键盘及显示单元与MPUMPU连接的桥梁电路,实现电气隔离与数据缓冲、连接的桥梁电路,实现电气隔离与数据

85、缓冲、锁存等功能。锁存等功能。不同的系统组态程序,能实现不同的控制过程,不同的系统组态程序,能实现不同的控制过程,SSCSSC能通过编能通过编程组态的方法,方便地组建和修改控制系统。故又称为可编程调程组态的方法,方便地组建和修改控制系统。故又称为可编程调节器。节器。键盘、显示器也是数字调节器的重要组成部分,它是一种简单键盘、显示器也是数字调节器的重要组成部分,它是一种简单的人机接口,通过键盘修改调节器参数和工作状态,显示器可让的人机接口,通过键盘修改调节器参数和工作状态,显示器可让操作人员了解系统的工作状态。操作人员了解系统的工作状态。通信单元通信单元( (通信接口通信接口) )使使SSCSS

86、C能与集中监视操作站、上位机通信,能与集中监视操作站、上位机通信,组成多级微机控制系统,实现各种高级控制和管理。组成多级微机控制系统,实现各种高级控制和管理。2. 2. 2. 2. 软件构成软件构成软件构成软件构成数字调节器的软件包括以下几部分:数字调节器的软件包括以下几部分:数字调节器的软件包括以下几部分:数字调节器的软件包括以下几部分:(1) (1) (1) (1) 监控管理程序监控管理程序监控管理程序监控管理程序这是系统软件,由它实现对输入这是系统软件,由它实现对输入这是系统软件,由它实现对输入这是系统软件,由它实现对输入/ / / /输出通道、键盘、显示器及通信等部件的输出通道、键盘、

87、显示器及通信等部件的输出通道、键盘、显示器及通信等部件的输出通道、键盘、显示器及通信等部件的管理,以及对调节器各硬件部分和程序进行故障监测及处理等。对于固定程序管理,以及对调节器各硬件部分和程序进行故障监测及处理等。对于固定程序管理,以及对调节器各硬件部分和程序进行故障监测及处理等。对于固定程序管理,以及对调节器各硬件部分和程序进行故障监测及处理等。对于固定程序的调节器,监控管理程序较简单,主要用于修改和显示调节器的工作方式和参的调节器,监控管理程序较简单,主要用于修改和显示调节器的工作方式和参的调节器,监控管理程序较简单,主要用于修改和显示调节器的工作方式和参的调节器,监控管理程序较简单,主

88、要用于修改和显示调节器的工作方式和参数及监视系统状态,如对各个调节回路的数及监视系统状态,如对各个调节回路的数及监视系统状态,如对各个调节回路的数及监视系统状态,如对各个调节回路的PIDPIDPIDPID参数参数参数参数TITITITI、TDTDTDTD、P P P P及采样周期及采样周期及采样周期及采样周期TsTsTsTs等进等进等进等进行整定、设定、修改传感器或变送器量程、上下限报警值及进行调节器手动行整定、设定、修改传感器或变送器量程、上下限报警值及进行调节器手动行整定、设定、修改传感器或变送器量程、上下限报警值及进行调节器手动行整定、设定、修改传感器或变送器量程、上下限报警值及进行调节

89、器手动自动工作方式的切换等。对于可编程序调节器,还需提供一套可由用户进行系自动工作方式的切换等。对于可编程序调节器,还需提供一套可由用户进行系自动工作方式的切换等。对于可编程序调节器,还需提供一套可由用户进行系自动工作方式的切换等。对于可编程序调节器,还需提供一套可由用户进行系统组态的软件,包括编程语言的编辑及编译软件,这种程序编制较复杂,但提统组态的软件,包括编程语言的编辑及编译软件,这种程序编制较复杂,但提统组态的软件,包括编程语言的编辑及编译软件,这种程序编制较复杂,但提统组态的软件,包括编程语言的编辑及编译软件,这种程序编制较复杂,但提供给用户的编程语言却十分简单,只要是有控制系统常识

90、的人员都很容易掌握。供给用户的编程语言却十分简单,只要是有控制系统常识的人员都很容易掌握。供给用户的编程语言却十分简单,只要是有控制系统常识的人员都很容易掌握。供给用户的编程语言却十分简单,只要是有控制系统常识的人员都很容易掌握。这种调节器一般功能都十分丰富,具有很好的通用性和灵活性,让用户有很大这种调节器一般功能都十分丰富,具有很好的通用性和灵活性,让用户有很大这种调节器一般功能都十分丰富,具有很好的通用性和灵活性,让用户有很大这种调节器一般功能都十分丰富,具有很好的通用性和灵活性,让用户有很大的选择余地。的选择余地。的选择余地。的选择余地。 (2) (2) (2) (2) 应用程序应用程序

91、应用程序应用程序根据调节器的应用功能所编的程序,如数据采集、数字滤波、标度变换、根据调节器的应用功能所编的程序,如数据采集、数字滤波、标度变换、根据调节器的应用功能所编的程序,如数据采集、数字滤波、标度变换、根据调节器的应用功能所编的程序,如数据采集、数字滤波、标度变换、数据处理、控制算法、报警及输出等程序。在可编程调节器中,这些应用程序数据处理、控制算法、报警及输出等程序。在可编程调节器中,这些应用程序数据处理、控制算法、报警及输出等程序。在可编程调节器中,这些应用程序数据处理、控制算法、报警及输出等程序。在可编程调节器中,这些应用程序以模块形式给出,用户可用数字调节器的编程语言将这些模块进

92、行组态,构成以模块形式给出,用户可用数字调节器的编程语言将这些模块进行组态,构成以模块形式给出,用户可用数字调节器的编程语言将这些模块进行组态,构成以模块形式给出,用户可用数字调节器的编程语言将这些模块进行组态,构成用户所需系统。用户所需系统。用户所需系统。用户所需系统。SSCSSCSSCSSC的运算、控制功能十分丰富,一般包括几十种运算、控制模块。运算模的运算、控制功能十分丰富,一般包括几十种运算、控制模块。运算模的运算、控制功能十分丰富,一般包括几十种运算、控制模块。运算模的运算、控制功能十分丰富,一般包括几十种运算、控制模块。运算模块不仅能实现各种复杂组合的四则运算,还能完成函数运算和逻

93、辑运算。例如,块不仅能实现各种复杂组合的四则运算,还能完成函数运算和逻辑运算。例如,块不仅能实现各种复杂组合的四则运算,还能完成函数运算和逻辑运算。例如,块不仅能实现各种复杂组合的四则运算,还能完成函数运算和逻辑运算。例如,用超前环节模块用超前环节模块用超前环节模块用超前环节模块1+Tds(Td1+Tds(Td1+Tds(Td1+Tds(Td超前时间超前时间超前时间超前时间) ) ) )和滞后环节模块和滞后环节模块和滞后环节模块和滞后环节模块1/(1+Tis)(Ti1/(1+Tis)(Ti1/(1+Tis)(Ti1/(1+Tis)(Ti滞后时间滞后时间滞后时间滞后时间) ) ) )组组组组成函

94、数成函数成函数成函数(1+Tds)/(1+Tis)(1+Tds)/(1+Tis)(1+Tds)/(1+Tis)(1+Tds)/(1+Tis);通过控制模块组态可构成;通过控制模块组态可构成;通过控制模块组态可构成;通过控制模块组态可构成PIDPIDPIDPID、串级、比值、前馈、串级、比值、前馈、串级、比值、前馈、串级、比值、前馈、选择、非线性、程序控制等。选择、非线性、程序控制等。选择、非线性、程序控制等。选择、非线性、程序控制等。另外,另外,另外,另外,SSCSSCSSCSSC还有断电保护和自诊断功能,提高了系统的可靠性。还有断电保护和自诊断功能,提高了系统的可靠性。还有断电保护和自诊断功

95、能,提高了系统的可靠性。还有断电保护和自诊断功能,提高了系统的可靠性。2.6.3 2.6.3 可编程序控制器可编程序控制器(PLC)(PLC)1.PLC1.PLC1.PLC1.PLC的硬件结构的硬件结构的硬件结构的硬件结构可编程控制器的结构形式分为整体式和模块式两类。 2.6.3 2.6.3 可编程序控制器可编程序控制器(PLC)(PLC)2.PLC2.PLC的软件结构的软件结构 可编程控制器的软件可分为系统软件、编程软可编程控制器的软件可分为系统软件、编程软可编程控制器的软件可分为系统软件、编程软可编程控制器的软件可分为系统软件、编程软件和应用软件三部分。件和应用软件三部分。件和应用软件三部

96、分。件和应用软件三部分。 (1 1 1 1)系统软件)系统软件)系统软件)系统软件 (2 2 2 2)编程软件)编程软件)编程软件)编程软件 (3 3 3 3)应用软件)应用软件)应用软件)应用软件2.6.4 2.6.4 运动控制器运动控制器1. 1. 1. 1. 运动控制器分类运动控制器分类运动控制器分类运动控制器分类(1) (1) (1) (1) 基于计算机标准总线的运动控制器基于计算机标准总线的运动控制器基于计算机标准总线的运动控制器基于计算机标准总线的运动控制器这种运动控制器大都采用这种运动控制器大都采用这种运动控制器大都采用这种运动控制器大都采用DSP DSP DSP DSP 或微机

97、芯片作为或微机芯片作为或微机芯片作为或微机芯片作为CPU CPU CPU CPU ,具有开放体系结构,具有开放体系结构,具有开放体系结构,具有开放体系结构,以以以以PCPCPCPC机作为信息处理平台,运动控制器以插卡形式嵌入机作为信息处理平台,运动控制器以插卡形式嵌入机作为信息处理平台,运动控制器以插卡形式嵌入机作为信息处理平台,运动控制器以插卡形式嵌入PCPCPCPC机,即机,即机,即机,即“PC+“PC+“PC+“PC+运动运动运动运动控制器控制器控制器控制器”的模式。这样将的模式。这样将的模式。这样将的模式。这样将PCPCPCPC机的信息处理能力和开放式的特点与运动控制器机的信息处理能力

98、和开放式的特点与运动控制器机的信息处理能力和开放式的特点与运动控制器机的信息处理能力和开放式的特点与运动控制器的运动轨迹控制能力的运动轨迹控制能力的运动轨迹控制能力的运动轨迹控制能力有机地结合在一起,具有信息处理能力强、开放程度高、有机地结合在一起,具有信息处理能力强、开放程度高、有机地结合在一起,具有信息处理能力强、开放程度高、有机地结合在一起,具有信息处理能力强、开放程度高、运动轨迹控制准确、通用性好的特点。可完成运动规划、高速实时插补、伺运动轨迹控制准确、通用性好的特点。可完成运动规划、高速实时插补、伺运动轨迹控制准确、通用性好的特点。可完成运动规划、高速实时插补、伺运动轨迹控制准确、通

99、用性好的特点。可完成运动规划、高速实时插补、伺服滤波控制和服滤波控制和服滤波控制和服滤波控制和PLC PLC PLC PLC 功能,它开放的函数库可供用户根据不同的需求,在功能,它开放的函数库可供用户根据不同的需求,在功能,它开放的函数库可供用户根据不同的需求,在功能,它开放的函数库可供用户根据不同的需求,在DOS DOS DOS DOS 或或或或WINDOWS WINDOWS WINDOWS WINDOWS 等平台下自行开发应用软件,组成各种控制系统,如美国等平台下自行开发应用软件,组成各种控制系统,如美国等平台下自行开发应用软件,组成各种控制系统,如美国等平台下自行开发应用软件,组成各种控

100、制系统,如美国Delta Delta Delta Delta Tau Tau Tau Tau 公司的公司的公司的公司的PMAC PMAC PMAC PMAC 多轴运动控制器和固高公司的多轴运动控制器和固高公司的多轴运动控制器和固高公司的多轴运动控制器和固高公司的GT/GHGT/GHGT/GHGT/GH系列运动控制器等。系列运动控制器等。系列运动控制器等。系列运动控制器等。伺服电机PMACPMAC卡卡驱动器驱动器A B C接口板接口板ABC编码器.机械机械 I/O I/O软件接口图2-51 开放式结构的运动控制系统示意图(2) Soft(2) Soft(2) Soft(2) Soft型开放式运动

101、控制器型开放式运动控制器型开放式运动控制器型开放式运动控制器这种运动控制器提供给用户最大的灵活性,它的运动控制软这种运动控制器提供给用户最大的灵活性,它的运动控制软件全部装在计算机中,件全部装在计算机中,而硬件部分仅是计算机与伺服驱动和外部而硬件部分仅是计算机与伺服驱动和外部I/O I/O 之间的标准化通用接口之间的标准化通用接口。就像计算机中可以安装各种品牌的。就像计算机中可以安装各种品牌的声卡、声卡、CDROM CDROM 和相应的驱动程序一样。用户可以在和相应的驱动程序一样。用户可以在WINDOWS WINDOWS 平台平台和其他操作系统的支持下,利用开放的运动控制内核,开发所需和其他操

102、作系统的支持下,利用开放的运动控制内核,开发所需的控制功能,构成各种类型的高性能运动控制系统,从而提供给的控制功能,构成各种类型的高性能运动控制系统,从而提供给用户更多的选择和灵活性。基于用户更多的选择和灵活性。基于Soft Soft 型开放式运动控制器开发型开放式运动控制器开发的典型产品有美国的典型产品有美国MDSIMDSI公司的公司的Open CNCOpen CNC、 德国德国PA PA (Power Power AutomationAutomation) 公司的公司的PA8000NT PA8000NT 。美国。美国Soft SERVO Soft SERVO 公司的基于公司的基于网络的运动

103、控制器和固高科技公司的网络的运动控制器和固高科技公司的GOGO系列运动控制器产品等。系列运动控制器产品等。SoftSoft型开放式运动控制的特点是开发、制造成本相对较低,能够型开放式运动控制的特点是开发、制造成本相对较低,能够给予系统集成商和开发商更加个性化的发展。给予系统集成商和开发商更加个性化的发展。(3) (3) (3) (3) 嵌入式结构的运动控制器嵌入式结构的运动控制器嵌入式结构的运动控制器嵌入式结构的运动控制器这种运动控制器是把计算机嵌入到运动控制器中的一种产这种运动控制器是把计算机嵌入到运动控制器中的一种产品,它能够独立运行。运动控制器与计算机之间的通信依然是品,它能够独立运行。

104、运动控制器与计算机之间的通信依然是靠计算机总线,靠计算机总线,实质上是基于总线结构的运动控制器的一种变实质上是基于总线结构的运动控制器的一种变种。种。对于标准总线的计算机模块,这种产品采用了更加可靠的对于标准总线的计算机模块,这种产品采用了更加可靠的总线连接方式(采用针式连接器),更加适合工业应用。在使总线连接方式(采用针式连接器),更加适合工业应用。在使用中,采用如工业以太网、用中,采用如工业以太网、RS-485 RS-485 、SERCOS SERCOS 、PROFIBUSPROFIBUS等现等现场网络通信接口联接上级计算机或控制面板。嵌入式的运动控场网络通信接口联接上级计算机或控制面板。

105、嵌入式的运动控制器也可配置软盘和硬盘驱动器,甚至可以通过制器也可配置软盘和硬盘驱动器,甚至可以通过Internet Internet 进行进行远程诊断。例如美国远程诊断。例如美国ADEPT ADEPT 公司的公司的Smart Controller Smart Controller ,固高科,固高科技公司的技公司的GUGU嵌入式运动控制平台系列产品等。嵌入式运动控制平台系列产品等。2. 变频器变频器的主要任务就是把恒压恒频(变频器的主要任务就是把恒压恒频(constant voltage constant voltage constant frequencyconstant frequency,

106、CVCFCVCF)的交流电转换为变压变频)的交流电转换为变压变频(variable voltage variable frequencyvariable voltage variable frequency,VVVFVVVF)的交流电,以)的交流电,以满足交流电机变频调速的需要。从结构上分,变频器可以分为交满足交流电机变频调速的需要。从结构上分,变频器可以分为交- -交变频器(亦称直接变频器)和交交变频器(亦称直接变频器)和交- -直直- -交变频器(亦称间接变频交变频器(亦称间接变频器)。器)。变频器的使用日益普及,功能也越来越强,已经跳出了仅作变频器的使用日益普及,功能也越来越强,已经跳出

107、了仅作为交流电机调速驱动器应用的范围,现在很多变频器具有为交流电机调速驱动器应用的范围,现在很多变频器具有PIDPID调节调节功能,与温度、压力、流量等传感器配合使用,可以构成一个单功能,与温度、压力、流量等传感器配合使用,可以构成一个单独的控制系统应用,而省去了控制器。新一代变频器均具有标准独的控制系统应用,而省去了控制器。新一代变频器均具有标准通信接口,用户可以利用通信接口在远处,如中央控制台对变频通信接口,用户可以利用通信接口在远处,如中央控制台对变频器进行集中控制,适应了自动化的要求。也可以使多台变频器组器进行集中控制,适应了自动化的要求。也可以使多台变频器组网应用,与计算机连接构成分

108、布式控制系统。使用场地相对分散,网应用,与计算机连接构成分布式控制系统。使用场地相对分散,远距离集中控制成为变频器管理的趋势。在变频器中使用的串行远距离集中控制成为变频器管理的趋势。在变频器中使用的串行通信接口通常为标准通信接口通常为标准485485接口,这种接口具有控制距离远、抗干扰接口,这种接口具有控制距离远、抗干扰能力强等优点。能力强等优点。2.7 2.7 硬件抗干扰技术硬件抗干扰技术2.7.1 2.7.1 过程通道抗干扰技术过程通道抗干扰技术2.7.2 CPU2.7.2 CPU抗干扰技术抗干扰技术2.7.3 2.7.3 系统供电与接地技术系统供电与接地技术 所所所所谓谓谓谓干干干干扰扰

109、扰扰,就就就就是是是是有有有有用用用用信信信信号号号号以以以以外外外外的的的的噪噪噪噪声声声声或或或或造造造造成成成成计计计计算算算算机机机机设备不能正常工作的破坏因素。设备不能正常工作的破坏因素。设备不能正常工作的破坏因素。设备不能正常工作的破坏因素。 克克克克服服服服干干干干扰扰扰扰的的的的措措措措施施施施:硬硬硬硬件件件件措措措措施施施施,软软软软件件件件措措措措施施施施,软软软软硬硬硬硬结结结结合合合合的措施的措施的措施的措施干扰的来源干扰的来源干扰的来源干扰的来源:外部干扰和内部干扰。:外部干扰和内部干扰。:外部干扰和内部干扰。:外部干扰和内部干扰。 外外外外部部部部干干干干扰扰扰扰

110、主主主主要要要要是是是是空空空空间间间间电电电电或或或或磁磁磁磁的的的的影影影影响响响响,环环环环境境境境温温温温度度度度、湿湿湿湿度等气象条件。度等气象条件。度等气象条件。度等气象条件。 内内内内部部部部干干干干扰扰扰扰主主主主要要要要是是是是分分分分布布布布电电电电容容容容、分分分分布布布布电电电电感感感感引引引引起起起起的的的的耦耦耦耦合合合合感感感感应应应应,电电电电磁磁磁磁场场场场辐辐辐辐射射射射感感感感应应应应,长长长长线线线线传传传传输输输输的的的的波波波波反反反反射射射射,多多多多点点点点接接接接地地地地造造造造成成成成的的的的电电电电位位位位差差差差引引引引起起起起的的的的干

111、干干干扰扰扰扰,寄寄寄寄生生生生振振振振荡荡荡荡引引引引起起起起的的的的干干干干扰扰扰扰,甚甚甚甚至至至至元元元元器件产生的噪声。器件产生的噪声。器件产生的噪声。器件产生的噪声。 分分分分布布布布电电电电容容容容:除除除除电电电电容容容容器器器器外外外外,由由由由于于于于电电电电路路路路的的的的分分分分布布布布特特特特点点点点而而而而具具具具有的电容叫分布电容。有的电容叫分布电容。有的电容叫分布电容。有的电容叫分布电容。 分布电感分布电感分布电感分布电感:distributed inductancedistributed inductancedistributed inductancedist

112、ributed inductance。2.7.1 2.7.1 过程通道抗干扰技术过程通道抗干扰技术1.1.1.1.串模干扰及其抑制方法串模干扰及其抑制方法串模干扰及其抑制方法串模干扰及其抑制方法 (1) (1) (1) (1)串模干扰串模干扰串模干扰串模干扰 (2) (2) (2) (2)串模干扰的抑制方法串模干扰的抑制方法串模干扰的抑制方法串模干扰的抑制方法2.2.2.2.共模干扰及其抑制方法共模干扰及其抑制方法共模干扰及其抑制方法共模干扰及其抑制方法 (1) (1) (1) (1)共模干扰共模干扰共模干扰共模干扰 (2) (2) (2) (2)共模干扰的抑制方法共模干扰的抑制方法共模干扰的

113、抑制方法共模干扰的抑制方法 变压器隔离变压器隔离变压器隔离变压器隔离 光电隔离光电隔离光电隔离光电隔离 浮地屏蔽浮地屏蔽浮地屏蔽浮地屏蔽 采用仪表放大器提高共模抑制比采用仪表放大器提高共模抑制比采用仪表放大器提高共模抑制比采用仪表放大器提高共模抑制比2.7.1 2.7.1 过程通道抗干扰技术过程通道抗干扰技术1.1.1.1.串模干扰及其抑制方法串模干扰及其抑制方法串模干扰及其抑制方法串模干扰及其抑制方法 (1)(1)(1)(1)串串串串模模模模干干干干扰扰扰扰:所所所所谓谓谓谓串串串串模模模模干干干干扰扰扰扰是是是是指指指指叠叠叠叠加加加加在在在在被被被被测测测测信信信信号号号号上上上上的的的

114、的干扰噪声。也称为常态干扰。干扰噪声。也称为常态干扰。干扰噪声。也称为常态干扰。干扰噪声。也称为常态干扰。(2 2)串模干扰的抑制方法)串模干扰的抑制方法 如果串模干扰频率比被测信号频率高,则采用输入低通如果串模干扰频率比被测信号频率高,则采用输入低通如果串模干扰频率比被测信号频率高,则采用输入低通如果串模干扰频率比被测信号频率高,则采用输入低通滤波器来抑制高频率串模干扰;如果串模干扰频率比被测信号滤波器来抑制高频率串模干扰;如果串模干扰频率比被测信号滤波器来抑制高频率串模干扰;如果串模干扰频率比被测信号滤波器来抑制高频率串模干扰;如果串模干扰频率比被测信号频率低,则采用高通滤波器来抑制低频串

115、模干扰;如果串模干频率低,则采用高通滤波器来抑制低频串模干扰;如果串模干频率低,则采用高通滤波器来抑制低频串模干扰;如果串模干频率低,则采用高通滤波器来抑制低频串模干扰;如果串模干扰频率落在被测信号频谱的两侧,则应用带通滤波器。一般情扰频率落在被测信号频谱的两侧,则应用带通滤波器。一般情扰频率落在被测信号频谱的两侧,则应用带通滤波器。一般情扰频率落在被测信号频谱的两侧,则应用带通滤波器。一般情况下,串模干扰均比被测信号变化快,故常用二级阻容低通滤况下,串模干扰均比被测信号变化快,故常用二级阻容低通滤况下,串模干扰均比被测信号变化快,故常用二级阻容低通滤况下,串模干扰均比被测信号变化快,故常用二

116、级阻容低通滤波网络作为模波网络作为模波网络作为模波网络作为模/ / / /数转换器的输入滤波器。当被测信号变化较快时,数转换器的输入滤波器。当被测信号变化较快时,数转换器的输入滤波器。当被测信号变化较快时,数转换器的输入滤波器。当被测信号变化较快时,应相应改变网络参数,以适当减小时间常数。应相应改变网络参数,以适当减小时间常数。应相应改变网络参数,以适当减小时间常数。应相应改变网络参数,以适当减小时间常数。 当当当当尖尖尖尖峰峰峰峰型型型型串串串串模模模模干干干干扰扰扰扰成成成成为为为为主主主主要要要要干干干干扰扰扰扰源源源源时时时时,用用用用双双双双积积积积分分分分式式式式A/DA/DA/D

117、A/D转转转转换换换换器器器器可可可可以以以以削削削削弱弱弱弱串串串串模模模模干干干干扰扰扰扰的的的的影影影影响响响响。因因因因为为为为此此此此类类类类转转转转换换换换器器器器是是是是对对对对输输输输入入入入信信信信号号号号的的的的积积积积分分分分值值值值进进进进行行行行测测测测量量量量,而而而而不不不不是是是是测测测测量量量量信信信信号号号号的的的的瞬瞬瞬瞬时时时时值值值值。若若若若干干干干扰扰扰扰信信信信号号号号是是是是周周周周期期期期性性性性的的的的而而而而积积积积分分分分时时时时间间间间又又又又为为为为信信信信号号号号周周周周期期期期或或或或信信信信号号号号周周周周期期期期的的的的整整

118、整整数数数数倍倍倍倍,则则则则积积积积分分分分后后后后干干干干扰扰扰扰值值值值为为为为零零零零,对测量结果不产生误差。对测量结果不产生误差。对测量结果不产生误差。对测量结果不产生误差。 对对对对于于于于串串串串模模模模干干干干扰扰扰扰主主主主要要要要来来来来自自自自电电电电磁磁磁磁感感感感应应应应的的的的情情情情况况况况下下下下,对对对对被被被被测测测测信信信信号号号号应应应应尽尽尽尽可可可可能能能能早早早早地地地地进进进进行行行行前前前前置置置置放放放放大大大大,从从从从而而而而达达达达到到到到提提提提高高高高回回回回路路路路中中中中的的的的信信信信号号号号噪噪噪噪声声声声比比比比的的的的目

119、目目目的的的的;或者尽可能早地完成模或者尽可能早地完成模或者尽可能早地完成模或者尽可能早地完成模/ / / /数转换或采取隔离和屏蔽等措施。数转换或采取隔离和屏蔽等措施。数转换或采取隔离和屏蔽等措施。数转换或采取隔离和屏蔽等措施。 从选择逻辑器件入手,利用逻辑器件的特性来抑制串模干扰。从选择逻辑器件入手,利用逻辑器件的特性来抑制串模干扰。从选择逻辑器件入手,利用逻辑器件的特性来抑制串模干扰。从选择逻辑器件入手,利用逻辑器件的特性来抑制串模干扰。 采用双绞线作信号引线的目的是减少电磁感应,并且使各个采用双绞线作信号引线的目的是减少电磁感应,并且使各个采用双绞线作信号引线的目的是减少电磁感应,并且

120、使各个采用双绞线作信号引线的目的是减少电磁感应,并且使各个小环路的感应电势互相呈反向抵消。选用带有屏蔽的双绞线或同轴小环路的感应电势互相呈反向抵消。选用带有屏蔽的双绞线或同轴小环路的感应电势互相呈反向抵消。选用带有屏蔽的双绞线或同轴小环路的感应电势互相呈反向抵消。选用带有屏蔽的双绞线或同轴电缆做信号线,且有良好接地,并对测量仪表进行电磁屏蔽。电缆做信号线,且有良好接地,并对测量仪表进行电磁屏蔽。电缆做信号线,且有良好接地,并对测量仪表进行电磁屏蔽。电缆做信号线,且有良好接地,并对测量仪表进行电磁屏蔽。 2 2共模干扰及其抑制方法共模干扰及其抑制方法 所谓共模干扰是指模所谓共模干扰是指模所谓共模

121、干扰是指模所谓共模干扰是指模/ / / /数转换器两个输入端上公有的干扰电数转换器两个输入端上公有的干扰电数转换器两个输入端上公有的干扰电数转换器两个输入端上公有的干扰电压。共模干扰也称为共态干扰。压。共模干扰也称为共态干扰。压。共模干扰也称为共态干扰。压。共模干扰也称为共态干扰。 被测信号被测信号被测信号被测信号U U U Us s s s的参考接地点和计算机输入信号的参考接地点的参考接地点和计算机输入信号的参考接地点的参考接地点和计算机输入信号的参考接地点的参考接地点和计算机输入信号的参考接地点之间往往存在着一定的电位差之间往往存在着一定的电位差之间往往存在着一定的电位差之间往往存在着一定

122、的电位差U U U Ucmcmcmcm 共模干扰示意图共模干扰示意图单端对地输入和双端不对地输入单端对地输入和双端不对地输入 对对于于存存在在共共模模干干扰扰的的场场合合,不不能能采采用用单单端端,对对地地输输入入方方式式,因因为为此此时时的的共共模模干干扰扰电电压压将将全全部部成成为为串串模模干干扰扰电电压压,如如左左图图所所示示。所所以以必必须须采采用用双双端输入不对地方式,如右图所示。端输入不对地方式,如右图所示。 Z ZS S、Z ZS1S1、Z ZS2S2为为信信号号源源USUS的的内内阻阻抗抗,Z ZC C、Z ZC1C1、Z ZC2C2为为输输入入电电路路的的输输入入阻阻抗抗。共

123、共模模干干扰扰电电压压U Ucmcm对对两两个个输输入入端端形形成成两两个个电电流流回回路路,每每个个输输入入端端A A和和B B的的共共模模电压和两个输入端之间的共模电压分别为:电压和两个输入端之间的共模电压分别为: 为为为为了了了了衡衡衡衡量量量量一一一一个个个个输输输输入入入入电电电电路路路路抑抑抑抑制制制制共共共共模模模模干干干干扰扰扰扰的的的的能能能能力力力力,常常常常用用用用共共共共模模模模抑抑抑抑制制制制比比比比CMRR(Common CMRR(Common CMRR(Common CMRR(Common Mode Mode Mode Mode Rejection Rejecti

124、on Rejection Rejection Ratio)Ratio)Ratio)Ratio)来来来来表表表表示,即示,即示,即示,即 U U U Ucmcmcmcm是共模干扰电压,是共模干扰电压,是共模干扰电压,是共模干扰电压,U U U Un n n n是是是是U U U Ucmcmcmcm转化成的串模干扰电转化成的串模干扰电转化成的串模干扰电转化成的串模干扰电压。显然,对于单端对地输入方式,由于压。显然,对于单端对地输入方式,由于压。显然,对于单端对地输入方式,由于压。显然,对于单端对地输入方式,由于U U U Un n n n=U=U=U=Ucmcmcmcm,所以,所以,所以,所以CM

125、RR=0CMRR=0CMRR=0CMRR=0,说明无共模抑制能力。对于双端不对地输入方,说明无共模抑制能力。对于双端不对地输入方,说明无共模抑制能力。对于双端不对地输入方,说明无共模抑制能力。对于双端不对地输入方式来说,由式来说,由式来说,由式来说,由U U U Ucmcmcmcm引入的串模干扰引入的串模干扰引入的串模干扰引入的串模干扰U U U Un n n n越小,越小,越小,越小,CMRRCMRRCMRRCMRR就越大,所就越大,所就越大,所就越大,所以抗共模干扰能力越强。以抗共模干扰能力越强。以抗共模干扰能力越强。以抗共模干扰能力越强。 (2)(2)共模干扰的抑制方法共模干扰的抑制方法

126、变压器隔离变压器隔离变压器隔离变压器隔离 利用变压器把模拟信号电路与数字信号电路隔离开来,也利用变压器把模拟信号电路与数字信号电路隔离开来,也利用变压器把模拟信号电路与数字信号电路隔离开来,也利用变压器把模拟信号电路与数字信号电路隔离开来,也就是把模拟地与数字地断开,以使共模干扰电压就是把模拟地与数字地断开,以使共模干扰电压就是把模拟地与数字地断开,以使共模干扰电压就是把模拟地与数字地断开,以使共模干扰电压cmcmcmcm不成回路,不成回路,不成回路,不成回路,从而抑制了共模干扰。另外,隔离前和隔离后应分别采用两组从而抑制了共模干扰。另外,隔离前和隔离后应分别采用两组从而抑制了共模干扰。另外,

127、隔离前和隔离后应分别采用两组从而抑制了共模干扰。另外,隔离前和隔离后应分别采用两组互相独立的电源,切断两部分的地线联系。互相独立的电源,切断两部分的地线联系。互相独立的电源,切断两部分的地线联系。互相独立的电源,切断两部分的地线联系。 光电隔离光电隔离光电隔离光电隔离 光电耦合器是由发光二极管和光敏三极管封装在一个管壳内光电耦合器是由发光二极管和光敏三极管封装在一个管壳内光电耦合器是由发光二极管和光敏三极管封装在一个管壳内光电耦合器是由发光二极管和光敏三极管封装在一个管壳内组成的,发光二极管两端为信号输入端,光敏三极管的集电极和组成的,发光二极管两端为信号输入端,光敏三极管的集电极和组成的,发

128、光二极管两端为信号输入端,光敏三极管的集电极和组成的,发光二极管两端为信号输入端,光敏三极管的集电极和发射极分别作为光电耦合器的输出端,它们之间的信号是靠发光发射极分别作为光电耦合器的输出端,它们之间的信号是靠发光发射极分别作为光电耦合器的输出端,它们之间的信号是靠发光发射极分别作为光电耦合器的输出端,它们之间的信号是靠发光二极管在信号电压的控制下发光,传给光敏三极管来完成的。二极管在信号电压的控制下发光,传给光敏三极管来完成的。二极管在信号电压的控制下发光,传给光敏三极管来完成的。二极管在信号电压的控制下发光,传给光敏三极管来完成的。 浮地屏蔽浮地屏蔽 采采采采用用用用浮浮浮浮地地地地输输输

129、输入入入入双双双双层层层层屏屏屏屏蔽蔽蔽蔽放放放放大大大大器器器器来来来来抑抑抑抑制制制制共共共共模模模模干干干干扰扰扰扰,如如如如图图图图所所所所示示示示。这这这这是是是是利利利利用用用用屏屏屏屏蔽蔽蔽蔽方方方方法法法法使使使使输输输输入入入入信信信信号号号号的的的的“模模模模拟拟拟拟地地地地”浮空,从而达到抑制共模干扰的目的。浮空,从而达到抑制共模干扰的目的。浮空,从而达到抑制共模干扰的目的。浮空,从而达到抑制共模干扰的目的。 采用仪表放大器提高共模抑制比采用仪表放大器提高共模抑制比采用仪表放大器提高共模抑制比采用仪表放大器提高共模抑制比 仪表放大器具有共模抑制能力强、输入阻抗高、仪表放大

130、器具有共模抑制能力强、输入阻抗高、仪表放大器具有共模抑制能力强、输入阻抗高、仪表放大器具有共模抑制能力强、输入阻抗高、漂移低、增益可调等优点,是一种专门用来分离共漂移低、增益可调等优点,是一种专门用来分离共漂移低、增益可调等优点,是一种专门用来分离共漂移低、增益可调等优点,是一种专门用来分离共模干扰与有用信号的器件。模干扰与有用信号的器件。模干扰与有用信号的器件。模干扰与有用信号的器件。 仪表放大器将两个信号的差值放大。抑制共模仪表放大器将两个信号的差值放大。抑制共模仪表放大器将两个信号的差值放大。抑制共模仪表放大器将两个信号的差值放大。抑制共模分量是使用仪表放大器的唯一原因分量是使用仪表放大

131、器的唯一原因分量是使用仪表放大器的唯一原因分量是使用仪表放大器的唯一原因 。 AD620 AD620 AD620 AD620(低功耗,低成本,集成仪表放大器),(低功耗,低成本,集成仪表放大器),(低功耗,低成本,集成仪表放大器),(低功耗,低成本,集成仪表放大器),还有还有还有还有AD623AD623AD623AD623等等等等等等等等. . . .3.3.长线传输干扰及其抑制方法长线传输干扰及其抑制方法(1)(1)(1)(1)长线传输干扰长线传输干扰长线传输干扰长线传输干扰 长线的长线的长线的长线的“长长长长”是相对的;是相对的;是相对的;是相对的; 信号在长线中传输遇到三个问题:信号在长

132、线中传输遇到三个问题:信号在长线中传输遇到三个问题:信号在长线中传输遇到三个问题: 一是长线传输易受到外界干扰,一是长线传输易受到外界干扰,一是长线传输易受到外界干扰,一是长线传输易受到外界干扰, 二是具有信号延时,二是具有信号延时,二是具有信号延时,二是具有信号延时, 三是高速度变化的信号在长线中传输时,还会出现三是高速度变化的信号在长线中传输时,还会出现三是高速度变化的信号在长线中传输时,还会出现三是高速度变化的信号在长线中传输时,还会出现波反射现象。(阻抗不连续,信号在传输线末端突然遇波反射现象。(阻抗不连续,信号在传输线末端突然遇波反射现象。(阻抗不连续,信号在传输线末端突然遇波反射现

133、象。(阻抗不连续,信号在传输线末端突然遇到电缆阻抗很小甚至没有,信号在这个地方就会引起反到电缆阻抗很小甚至没有,信号在这个地方就会引起反到电缆阻抗很小甚至没有,信号在这个地方就会引起反到电缆阻抗很小甚至没有,信号在这个地方就会引起反射。这种信号反射的原理,与光从一种媒质进入另一种射。这种信号反射的原理,与光从一种媒质进入另一种射。这种信号反射的原理,与光从一种媒质进入另一种射。这种信号反射的原理,与光从一种媒质进入另一种媒质要引起反射是相似的。消除这种反射的方法,就必媒质要引起反射是相似的。消除这种反射的方法,就必媒质要引起反射是相似的。消除这种反射的方法,就必媒质要引起反射是相似的。消除这种

134、反射的方法,就必须在电缆的末端跨接一个与电缆的特性阻抗同样大小的须在电缆的末端跨接一个与电缆的特性阻抗同样大小的须在电缆的末端跨接一个与电缆的特性阻抗同样大小的须在电缆的末端跨接一个与电缆的特性阻抗同样大小的终端电阻,使电缆的阻抗连续。)终端电阻,使电缆的阻抗连续。)终端电阻,使电缆的阻抗连续。)终端电阻,使电缆的阻抗连续。)(2)(2)长线传输干扰的抑制方法长线传输干扰的抑制方法 采采采采用用用用终终终终端端端端阻阻阻阻抗抗抗抗匹匹匹匹配配配配或或或或始始始始端端端端阻阻阻阻抗抗抗抗匹匹匹匹配配配配,可可可可以以以以消消消消除除除除长长长长线线线线传传传传输输输输中中中中的波反射或者把它抑制

135、到最低限度。的波反射或者把它抑制到最低限度。的波反射或者把它抑制到最低限度。的波反射或者把它抑制到最低限度。 双绞线双绞线双绞线双绞线与与与与同轴电缆同轴电缆同轴电缆同轴电缆 双双双双绞绞绞绞线线线线的的的的波波波波阻阻阻阻抗抗抗抗一一一一般般般般在在在在100100100100至至至至200200200200之之之之间间间间,绞绞绞绞花花花花越越越越密密密密,波波波波阻阻阻阻抗越低。抗越低。抗越低。抗越低。终端匹配:终端匹配:终端匹配:终端匹配: 始端匹配:始端匹配:始端匹配:始端匹配:2.6.2 CPU2.6.2 CPU抗干扰技术抗干扰技术计算机控制系统的计算机控制系统的计算机控制系统的计

136、算机控制系统的CPUCPUCPUCPU抗干扰措施:抗干扰措施:抗干扰措施:抗干扰措施: Watchdog( Watchdog( Watchdog( Watchdog(俗称看门狗俗称看门狗俗称看门狗俗称看门狗) ) ) ) 电源监控电源监控电源监控电源监控( ( ( (掉电检测及保护掉电检测及保护掉电检测及保护掉电检测及保护) ) ) ) 复位复位复位复位 MAX1232 MAX1232微处理器监控电路给微处理器提供辅微处理器监控电路给微处理器提供辅助功能以及电源供电监控功能。助功能以及电源供电监控功能。MAX1232MAX1232通过监控通过监控 微处理器系统电源供电微处理器系统电源供电及及监

137、控软件的执行监控软件的执行,来增强,来增强电路的可靠性,它提供一个反弹的电路的可靠性,它提供一个反弹的( (无锁的无锁的) )手动复手动复位输入。位输入。 另外常用的集成电路还有另外常用的集成电路还有X5045X5045、IMP813IMP813等。等。1 1MAX1232MAX1232的结构原理的结构原理 MAX1232引脚图MAX1232内部原理图2 2MAX1232MAX1232的主要功能的主要功能(1)(1)电源监控电源监控(2)(2)按钮复位输入按钮复位输入 (3)(3)监控定时器监控定时器(Watchdog) (Watchdog) (1)(1)电源监控电源监控电电电电压压压压检检检

138、检测测测测器器器器监监监监控控控控V V V Vcccccccc。每每每每当当当当V V V Vcccccccc低低低低于于于于所所所所选选选选择择择择的的的的容容容容限限限限时时时时(5%(5%(5%(5%容容容容限限限限时时时时的的的的电电电电压压压压典典典典型型型型时时时时为为为为4 4 4 462V62V62V62V,10%10%10%10%容容容容限限限限时时时时的的的的电电电电压压压压典型时为典型时为典型时为典型时为4 4 4 437V)37V)37V)37V)就输出并保持复位信号。就输出并保持复位信号。就输出并保持复位信号。就输出并保持复位信号。 选择选择选择选择5%5%5%5%

139、的容许极限时,的容许极限时,的容许极限时,的容许极限时,TOLTOLTOLTOL端接地;端接地;端接地;端接地; 选择选择选择选择10%10%10%10%的容许极限时,的容许极限时,的容许极限时,的容许极限时,TOLTOLTOLTOL端接端接端接端接V V V Vcccccccc。当当当当V V V Vcccccccc恢恢恢恢复复复复到到到到容容容容许许许许极极极极限限限限内内内内,复复复复位位位位输输输输出出出出信信信信号号号号至至至至少少少少保保保保持持持持250ms250ms250ms250ms的宽度,才允许电源供电并使微处理器稳定工作。的宽度,才允许电源供电并使微处理器稳定工作。的宽度

140、,才允许电源供电并使微处理器稳定工作。的宽度,才允许电源供电并使微处理器稳定工作。(2)(2)按钮复位输入按钮复位输入 MAX1232MAX1232MAX1232MAX1232的的的的PBRSTPBRSTPBRSTPBRST端端端端靠靠靠靠手手手手动动动动强强强强制制制制复复复复位位位位输输输输出出出出,该该该该端端端端保保保保持持持持t t t tPBDPBDPBDPBD是是是是按按按按钮钮钮钮复复复复位位位位延延延延迟迟迟迟时时时时间间间间,当当当当PBRSTPBRSTPBRSTPBRST升升升升高高高高到到到到大大大大于于于于一一一一定定定定的电压值后,复位输出保持至少的电压值后,复位输

141、出保持至少的电压值后,复位输出保持至少的电压值后,复位输出保持至少250ms250ms250ms250ms的宽度。的宽度。的宽度。的宽度。 一个机械按钮或一个有效的逻辑信号都能驱动一个机械按钮或一个有效的逻辑信号都能驱动一个机械按钮或一个有效的逻辑信号都能驱动一个机械按钮或一个有效的逻辑信号都能驱动PBRST PBRST PBRST PBRST ,无锁按钮输入至少忽略了,无锁按钮输入至少忽略了,无锁按钮输入至少忽略了,无锁按钮输入至少忽略了1ms1ms1ms1ms的输入抖动,并的输入抖动,并的输入抖动,并的输入抖动,并且被保证能识别出且被保证能识别出且被保证能识别出且被保证能识别出20ms20

142、ms20ms20ms或更大的脉冲宽度。该或更大的脉冲宽度。该或更大的脉冲宽度。该或更大的脉冲宽度。该PBRSTPBRSTPBRSTPBRST在在在在芯片内部被上拉到大约芯片内部被上拉到大约芯片内部被上拉到大约芯片内部被上拉到大约100A100A100A100A的的的的V V V Vcccccccc上,因而不需要附上,因而不需要附上,因而不需要附上,因而不需要附加的上拉电阻。加的上拉电阻。加的上拉电阻。加的上拉电阻。 (3)(3)监控定时器监控定时器(Watchdog)(Watchdog) 用于因干扰引起的系统用于因干扰引起的系统用于因干扰引起的系统用于因干扰引起的系统“飞程序飞程序飞程序飞程序

143、”等出错的检等出错的检等出错的检等出错的检测和自动恢复。测和自动恢复。测和自动恢复。测和自动恢复。 微处理器用一根微处理器用一根微处理器用一根微处理器用一根I/OI/OI/OI/O线来驱动输入线来驱动输入线来驱动输入线来驱动输入STSTSTST,微处理器,微处理器,微处理器,微处理器必须在一定时间内触发必须在一定时间内触发必须在一定时间内触发必须在一定时间内触发STSTSTST端端端端( ( ( (其时间取决于其时间取决于其时间取决于其时间取决于TD)TD)TD)TD),以,以,以,以便来检测正常的软件执行。如果一个硬件或软件的便来检测正常的软件执行。如果一个硬件或软件的便来检测正常的软件执行

144、。如果一个硬件或软件的便来检测正常的软件执行。如果一个硬件或软件的失误导致没被触发,在一个最小超时间间隔内,失误导致没被触发,在一个最小超时间间隔内,失误导致没被触发,在一个最小超时间间隔内,失误导致没被触发,在一个最小超时间间隔内,STSTSTST的触发只能被脉冲的下降沿作用,这时的触发只能被脉冲的下降沿作用,这时的触发只能被脉冲的下降沿作用,这时的触发只能被脉冲的下降沿作用,这时MAX1232MAX1232MAX1232MAX1232的复的复的复的复位输出至少保持位输出至少保持位输出至少保持位输出至少保持250ms250ms250ms250ms的宽度。的宽度。的宽度。的宽度。 监控电路监控

145、电路MAX1232MAX1232的典型应用的典型应用2.6.3 2.6.3 系统供电与接地技术系统供电与接地技术 1 1 1 1供电技术供电技术供电技术供电技术2 2 2 2接地技术接地技术接地技术接地技术 从交流电网输入、直流输出的全过程,包括:从交流电网输入、直流输出的全过程,包括: 1 1、输入滤波器:其作用是将电网存在的杂波过滤,同时也、输入滤波器:其作用是将电网存在的杂波过滤,同时也阻碍本机产生的杂波反馈到公共电网。阻碍本机产生的杂波反馈到公共电网。 2 2、整流与滤波:将电网交流电源直接整流为较平滑的直流、整流与滤波:将电网交流电源直接整流为较平滑的直流电,以供下一级变换。电,以供

146、下一级变换。 3 3、逆变:将整流后的直流电变为高频交流电,这是高频开、逆变:将整流后的直流电变为高频交流电,这是高频开关电源的核心部分,频率越高,体积、重量与输出功率之比越小。关电源的核心部分,频率越高,体积、重量与输出功率之比越小。 4 4、输出整流与滤波:根据负载需要,提供稳定可靠的直流、输出整流与滤波:根据负载需要,提供稳定可靠的直流电源。电源。(2)(2)(2)(2)电源异常的保护措施电源异常的保护措施电源异常的保护措施电源异常的保护措施 计算机控制系统的供电不允许中断,一旦中断将会影响生计算机控制系统的供电不允许中断,一旦中断将会影响生计算机控制系统的供电不允许中断,一旦中断将会影

147、响生计算机控制系统的供电不允许中断,一旦中断将会影响生产。为此,可采用不间断电源产。为此,可采用不间断电源产。为此,可采用不间断电源产。为此,可采用不间断电源UPS UPS UPS UPS 。 UPS UPS UPS UPS自身逆变器的输入直流总线和外接电池组均与用户原有自身逆变器的输入直流总线和外接电池组均与用户原有自身逆变器的输入直流总线和外接电池组均与用户原有自身逆变器的输入直流总线和外接电池组均与用户原有的的的的48V48V48V48V通信电源无任何直接的电气连接,所以不会对程控机产生通信电源无任何直接的电气连接,所以不会对程控机产生通信电源无任何直接的电气连接,所以不会对程控机产生通

148、信电源无任何直接的电气连接,所以不会对程控机产生任何传导干扰。任何传导干扰。任何传导干扰。任何传导干扰。 输入电压偏高或偏低时,即转为电池放电输入电压偏高或偏低时,即转为电池放电输入电压偏高或偏低时,即转为电池放电输入电压偏高或偏低时,即转为电池放电 。通过改进控制。通过改进控制。通过改进控制。通过改进控制器的工作,可以减轻电池组放电的频率,减少电池损坏。器的工作,可以减轻电池组放电的频率,减少电池损坏。器的工作,可以减轻电池组放电的频率,减少电池损坏。器的工作,可以减轻电池组放电的频率,减少电池损坏。 2 2接地技术接地技术 (1) (1) (1) (1)地线系统分析地线系统分析地线系统分析

149、地线系统分析 什么是地线?什么是地线?什么是地线?什么是地线?地线有安全地和信号地两种。前者是为了保证人身安全、设地线有安全地和信号地两种。前者是为了保证人身安全、设地线有安全地和信号地两种。前者是为了保证人身安全、设地线有安全地和信号地两种。前者是为了保证人身安全、设备安全而设置的地线,后者是为了保证电路正确工作所设置的地备安全而设置的地线,后者是为了保证电路正确工作所设置的地备安全而设置的地线,后者是为了保证电路正确工作所设置的地备安全而设置的地线,后者是为了保证电路正确工作所设置的地线,造成电路干扰现象的主要是信号地。在进行电磁兼容问题分线,造成电路干扰现象的主要是信号地。在进行电磁兼容

150、问题分线,造成电路干扰现象的主要是信号地。在进行电磁兼容问题分线,造成电路干扰现象的主要是信号地。在进行电磁兼容问题分析时,对地线使用下面的定义:析时,对地线使用下面的定义:析时,对地线使用下面的定义:析时,对地线使用下面的定义:“地线是信号电流流回信号源的地线是信号电流流回信号源的地线是信号电流流回信号源的地线是信号电流流回信号源的地阻抗路径。地阻抗路径。地阻抗路径。地阻抗路径。” 在计算机控制系统中,一般有以下几种地线:模拟地、数字在计算机控制系统中,一般有以下几种地线:模拟地、数字在计算机控制系统中,一般有以下几种地线:模拟地、数字在计算机控制系统中,一般有以下几种地线:模拟地、数字地、

151、安全地、系统地、交流地。地、安全地、系统地、交流地。地、安全地、系统地、交流地。地、安全地、系统地、交流地。 模模模模拟拟拟拟地地地地作作作作为为为为传传传传感感感感器器器器、变变变变送送送送器器器器、放放放放大大大大器器器器、A/DA/DA/DA/D和和和和D/AD/AD/AD/A转转转转换换换换器器器器中中中中模模模模拟电路的零电位。拟电路的零电位。拟电路的零电位。拟电路的零电位。 数数数数字字字字地地地地作作作作为为为为计计计计算算算算机机机机中中中中各各各各种种种种数数数数字字字字电电电电路路路路的的的的零零零零电电电电位位位位,应应应应该该该该与与与与模模模模拟拟拟拟地地地地分开,避

152、免模拟信号受数字脉冲的干扰。分开,避免模拟信号受数字脉冲的干扰。分开,避免模拟信号受数字脉冲的干扰。分开,避免模拟信号受数字脉冲的干扰。 安安安安全全全全地地地地的的的的目目目目的的的的是是是是使使使使设设设设备备备备机机机机壳壳壳壳与与与与大大大大地地地地等等等等电电电电位位位位,以以以以避避避避免免免免机机机机壳壳壳壳带带带带电电电电而而而而影影影影响响响响人人人人身身身身及及及及设设设设备备备备安安安安全全全全。通通通通常常常常安安安安全全全全地地地地又又又又称称称称为为为为保保保保护护护护地地地地或或或或机机机机壳壳壳壳地地地地,机机机机壳包括机架、外壳、屏蔽罩等。壳包括机架、外壳、屏

153、蔽罩等。壳包括机架、外壳、屏蔽罩等。壳包括机架、外壳、屏蔽罩等。 系系系系统统统统地地地地就就就就是是是是上上上上述述述述几几几几种种种种地地地地的的的的最最最最终终终终回回回回流流流流点点点点,直直直直接接接接与与与与大大大大地地地地相相相相连连连连。众众众众所所所所周周周周知知知知,地地地地球球球球是是是是导导导导体体体体而而而而且且且且体体体体积积积积非非非非常常常常大大大大,因因因因而而而而其其其其静静静静电电电电容容容容量量量量也也也也非非非非常常常常大大大大,电电电电位位位位比比比比较较较较恒恒恒恒定定定定,所所所所以以以以人人人人们们们们把把把把它它它它的的的的电电电电位位位位作

154、作作作为为为为基基基基准准准准电电电电位位位位,也也也也就就就就是是是是零零零零电电电电位。位。位。位。 交流地交流地交流地交流地是计算机交流供电电源地,即动力线地,它的地电位是计算机交流供电电源地,即动力线地,它的地电位是计算机交流供电电源地,即动力线地,它的地电位是计算机交流供电电源地,即动力线地,它的地电位很不稳定。很不稳定。很不稳定。很不稳定。接地理论接地理论接地理论接地理论分析,低频电路应单点接地,高频电路应就近多点接分析,低频电路应单点接地,高频电路应就近多点接分析,低频电路应单点接地,高频电路应就近多点接分析,低频电路应单点接地,高频电路应就近多点接地。地。地。地。单点接地的单点

155、接地的单点接地的单点接地的目的目的目的目的:是避免形成地环路,地环路产生的电流会引:是避免形成地环路,地环路产生的电流会引:是避免形成地环路,地环路产生的电流会引:是避免形成地环路,地环路产生的电流会引入到信号回路内引起干扰。入到信号回路内引起干扰。入到信号回路内引起干扰。入到信号回路内引起干扰。地环路产生的原因地环路产生的原因地环路产生的原因地环路产生的原因:地环路干扰发生在通过较长电缆连接的相:地环路干扰发生在通过较长电缆连接的相:地环路干扰发生在通过较长电缆连接的相:地环路干扰发生在通过较长电缆连接的相距较远的设备之间,其产生的内在原因是设备之间的地线电位差,距较远的设备之间,其产生的内

156、在原因是设备之间的地线电位差,距较远的设备之间,其产生的内在原因是设备之间的地线电位差,距较远的设备之间,其产生的内在原因是设备之间的地线电位差,地线电压导致了地环路电流,由于电路的非平衡性,地环路电流地线电压导致了地环路电流,由于电路的非平衡性,地环路电流地线电压导致了地环路电流,由于电路的非平衡性,地环路电流地线电压导致了地环路电流,由于电路的非平衡性,地环路电流导致对电路造成影响的差模干扰电压。导致对电路造成影响的差模干扰电压。导致对电路造成影响的差模干扰电压。导致对电路造成影响的差模干扰电压。回流法单点接地回流法单点接地回流法单点接地回流法单点接地:模拟地、数字地、安全地:模拟地、数字

157、地、安全地:模拟地、数字地、安全地:模拟地、数字地、安全地( ( ( (机壳地机壳地机壳地机壳地) ) ) )的分别回的分别回的分别回的分别回流法。回流线往往采用汇流条而不采用一般的导线。汇流条是由流法。回流线往往采用汇流条而不采用一般的导线。汇流条是由流法。回流线往往采用汇流条而不采用一般的导线。汇流条是由流法。回流线往往采用汇流条而不采用一般的导线。汇流条是由多层铜导体构成,截面呈矩形,各层之间有绝缘层。采用多层汇多层铜导体构成,截面呈矩形,各层之间有绝缘层。采用多层汇多层铜导体构成,截面呈矩形,各层之间有绝缘层。采用多层汇多层铜导体构成,截面呈矩形,各层之间有绝缘层。采用多层汇流条以减少

158、自感,可减少干扰的窜入途径。安全地流条以减少自感,可减少干扰的窜入途径。安全地流条以减少自感,可减少干扰的窜入途径。安全地流条以减少自感,可减少干扰的窜入途径。安全地( ( ( (机壳地机壳地机壳地机壳地) ) ) )始终始终始终始终与信号地与信号地与信号地与信号地( ( ( (模拟地、数字地模拟地、数字地模拟地、数字地模拟地、数字地) ) ) )是浮离开的。这些地之间只在最后汇是浮离开的。这些地之间只在最后汇是浮离开的。这些地之间只在最后汇是浮离开的。这些地之间只在最后汇聚一点,并且常常通过铜接地板交汇,然后用线径不小于聚一点,并且常常通过铜接地板交汇,然后用线径不小于聚一点,并且常常通过铜

159、接地板交汇,然后用线径不小于聚一点,并且常常通过铜接地板交汇,然后用线径不小于300mm2300mm2300mm2300mm2的多股铜软线焊接在接地极上后深埋地下。的多股铜软线焊接在接地极上后深埋地下。的多股铜软线焊接在接地极上后深埋地下。的多股铜软线焊接在接地极上后深埋地下。(2)(2)(2)(2)低频接地技术低频接地技术低频接地技术低频接地技术 一点接地方式一点接地方式一点接地方式一点接地方式两种接法:即串联接地两种接法:即串联接地两种接法:即串联接地两种接法:即串联接地( ( ( (或称共同接地或称共同接地或称共同接地或称共同接地) ) ) )和并联接地和并联接地和并联接地和并联接地(

160、( ( (或称分别接或称分别接或称分别接或称分别接地地地地) ) ) ) 。各自的优缺点: 从防止噪声角度看,如图所示的串联接地方式是最不适用的,由于地电阻r1、r2和r3是串联的,所以各电路间相互发生干扰 。 并联接地方式在低频时是最适用的,因为各电路的地电位只与本电路的地电流和地线阻抗有关,不会因地电流而引起各电路间的耦合。这种方式的缺点是需要连很多根地线,用起来比较麻烦。 实用的低频接地实用的低频接地实用的低频接地实用的低频接地 一般在低频时用串联一点接地的综合接法,即在符合噪声一般在低频时用串联一点接地的综合接法,即在符合噪声一般在低频时用串联一点接地的综合接法,即在符合噪声一般在低频

161、时用串联一点接地的综合接法,即在符合噪声标准和简单易行的条件下统筹兼顾。也就是说可用分组接法,标准和简单易行的条件下统筹兼顾。也就是说可用分组接法,标准和简单易行的条件下统筹兼顾。也就是说可用分组接法,标准和简单易行的条件下统筹兼顾。也就是说可用分组接法,即低电平电路经一组共同地线接地,高电平电路经另一组共同即低电平电路经一组共同地线接地,高电平电路经另一组共同即低电平电路经一组共同地线接地,高电平电路经另一组共同即低电平电路经一组共同地线接地,高电平电路经另一组共同地线接地。地线接地。地线接地。地线接地。 一条是低电平电路地线;一条是继电器、电动机等的地线一条是低电平电路地线;一条是继电器、

162、电动机等的地线一条是低电平电路地线;一条是继电器、电动机等的地线一条是低电平电路地线;一条是继电器、电动机等的地线( ( ( (称为称为称为称为“噪声噪声噪声噪声”地线地线地线地线) ) ) );一条是设备机壳地线;一条是设备机壳地线;一条是设备机壳地线;一条是设备机壳地线( ( ( (称为称为称为称为“金属件金属件金属件金属件”地线地线地线地线) ) ) )。 这三条地线应在一点连接接地。这三条地线应在一点连接接地。这三条地线应在一点连接接地。这三条地线应在一点连接接地。(3)(3)通道馈线(电缆)的接地技术通道馈线(电缆)的接地技术 电电电电路路路路一一一一点点点点地地地地基基基基准准准准

163、: : : :如如如如图图图图所所所所示示示示的的的的将将将将信信信信号号号号源源源源与与与与输输输输入入入入放放放放大大大大器器器器分分分分别别别别接接接接地的方式是不正确的。地的方式是不正确的。地的方式是不正确的。地的方式是不正确的。 误误误误认认认认为为为为A A A A和和和和B B B B两两两两点点点点都都都都是是是是地地地地球球球球地地地地电电电电位位位位应应应应该该该该相相相相等等等等,是是是是造造造造成成成成这这这这种种种种接接接接地地地地错错错错误误误误的的的的根根根根本本本本原原原原因因因因。为为为为了了了了克克克克服服服服双双双双端端端端接接接接地地地地的的的的缺缺缺缺

164、点点点点,应应应应将将将将输输输输入入入入回回回回路路路路改改改改为为为为单单单单端端端端接接接接地地地地方方方方式式式式。当当当当单单单单端端端端接接接接地地地地点点点点位位位位于于于于信信信信号号号号源源源源端端端端时时时时,放放放放大大大大器器器器电电电电源源源源不不不不接接接接地地地地;当当当当单单单单端端端端接接接接地地地地点点点点位位位位于于于于放放放放大大大大器器器器端端端端时时时时,信信信信号号号号源源源源不不不不接接接接地地地地。电缆屏蔽层的接地电缆屏蔽层的接地: :当信号电路是一点接地时,低频电缆的屏当信号电路是一点接地时,低频电缆的屏蔽层也应一点接地。当一个电路有一个不接

165、地的信号源与一个蔽层也应一点接地。当一个电路有一个不接地的信号源与一个接地的接地的( (即使不是接大地即使不是接大地) )放大器相连时,输入线的屏蔽应接至放大器相连时,输入线的屏蔽应接至放大器的公共端;当接地信号源与不接地放大器相连时,即使放大器的公共端;当接地信号源与不接地放大器相连时,即使信号源端接的不是大地,输入线的屏蔽层也应接到信号源的公信号源端接的不是大地,输入线的屏蔽层也应接到信号源的公共端。共端。(4)(4)(4)(4)主机外壳接地但机芯浮空主机外壳接地但机芯浮空主机外壳接地但机芯浮空主机外壳接地但机芯浮空为为为为了了了了提提提提高高高高计计计计算算算算机机机机的的的的抗抗抗抗干

166、干干干扰扰扰扰能能能能力力力力,将将将将主主主主机机机机外外外外壳壳壳壳作作作作为为为为屏屏屏屏蔽蔽蔽蔽罩罩罩罩接接接接地地地地。而而而而把把把把机机机机内内内内器器器器件件件件架架架架与与与与外外外外壳壳壳壳绝绝绝绝缘缘缘缘,绝绝绝绝缘缘缘缘电电电电阻阻阻阻大大大大于于于于50M50M50M50M,即即即即机机机机内内内内信信信信号号号号地地地地浮浮浮浮空空空空。这这这这种种种种方方方方法法法法安安安安全全全全可可可可靠靠靠靠,抗抗抗抗干干干干扰扰扰扰能能能能力力力力强强强强,但但但但制制制制造造造造工工工工艺艺艺艺复复复复杂杂杂杂,一一一一旦绝缘电阻降低就会引入干扰。旦绝缘电阻降低就会引入

167、干扰。旦绝缘电阻降低就会引入干扰。旦绝缘电阻降低就会引入干扰。(5)(5)(5)(5)多机系统的接地多机系统的接地多机系统的接地多机系统的接地在计算机网络系统中,多台计算机之间相互通信,资源共享。在计算机网络系统中,多台计算机之间相互通信,资源共享。在计算机网络系统中,多台计算机之间相互通信,资源共享。在计算机网络系统中,多台计算机之间相互通信,资源共享。如果接地不合理,将使整个网络系统无法正常工作。近距离的几如果接地不合理,将使整个网络系统无法正常工作。近距离的几如果接地不合理,将使整个网络系统无法正常工作。近距离的几如果接地不合理,将使整个网络系统无法正常工作。近距离的几台计算机安装在同一

168、机房内,可采用多机一点接地方法。对于远台计算机安装在同一机房内,可采用多机一点接地方法。对于远台计算机安装在同一机房内,可采用多机一点接地方法。对于远台计算机安装在同一机房内,可采用多机一点接地方法。对于远距离的计算机网络,多台计算机之间的数据通信,通过隔离的办距离的计算机网络,多台计算机之间的数据通信,通过隔离的办距离的计算机网络,多台计算机之间的数据通信,通过隔离的办距离的计算机网络,多台计算机之间的数据通信,通过隔离的办法把地分开。例如:采用变压器隔离技术、光电隔离技术和无线法把地分开。例如:采用变压器隔离技术、光电隔离技术和无线法把地分开。例如:采用变压器隔离技术、光电隔离技术和无线法把地分开。例如:采用变压器隔离技术、光电隔离技术和无线电通信技术。电通信技术。电通信技术。电通信技术。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号