FPGA硬件电路设计与FPGA平台介绍

上传人:re****.1 文档编号:567651755 上传时间:2024-07-21 格式:PPT 页数:77 大小:32.60MB
返回 下载 相关 举报
FPGA硬件电路设计与FPGA平台介绍_第1页
第1页 / 共77页
FPGA硬件电路设计与FPGA平台介绍_第2页
第2页 / 共77页
FPGA硬件电路设计与FPGA平台介绍_第3页
第3页 / 共77页
FPGA硬件电路设计与FPGA平台介绍_第4页
第4页 / 共77页
FPGA硬件电路设计与FPGA平台介绍_第5页
第5页 / 共77页
点击查看更多>>
资源描述

《FPGA硬件电路设计与FPGA平台介绍》由会员分享,可在线阅读,更多相关《FPGA硬件电路设计与FPGA平台介绍(77页珍藏版)》请在金锄头文库上搜索。

1、1主要内容:主要内容:1.FPGA技术概述;2.主流FPGA器件介绍;3.VIRTEX-5 FPGA电路设计;4.V4LX160 FPGA平台介绍;第一章第一章FPGA技术概述技术概述3第第1章主要内容章主要内容q可编程逻辑器件发展历程qFPGA的结构qFPGA的主要特点qFPGA的发展趋势4可编程逻辑器件发展历程可编程逻辑器件发展历程qPROM可编程只读存储器,只能存储少量数据,完成简单逻辑功能。qEPROM/EEPROM紫外线可擦除只读存储器和电可擦除只读存储器。qPAL/GAL可编程阵列逻辑和通用阵列逻辑,能完成中大规模的数字逻辑功能。qFPGA/CPLD现场可编程门阵列和复杂可编程逻辑

2、器件,完成超大规模的复杂组合逻辑与设计逻辑。5FPGA的结构的结构qFPGA一般由以下几个基本部分构成:v可编程逻辑功能模块(Configurable Logic Block,CLB)v可编程输入输出模块(Input/Output Blocks,IOB)v可编程内部互连资源(Programmable Interconnection,PI)q现代平台级FPGA还会包括以下可选资源:v存储器资源(BlockRAM)v数字时钟管理单元(分频、倍频、数字延迟)vI/O多电平标准兼容(Select I/O)v算术运算单元(乘法器、加法器)v特殊功能模块v微处理器模块(PowerPC、ARM)6现代现代F

3、PGA的主要特点的主要特点q规模越来越大,达到上千万门级的规模,更适于实现片上系统(SoC)。q开发过程投资小。FPGA设计灵活,发现错误时可直接更改设计,减少了投片风险,节省了许多潜在的花费。FPGA除能完成复杂系统功能外,也可以实现ASIC设计的功能样机。qFPGA一般可以反复地编程、擦除。在不改变外围电路的情况下,设计不同片内逻辑就能实现不同的电路功能。q保密性好。在某些场合下,根据要求选用防止反向技术的FPGA,能很好的保护系统的安全性和设计者的知识产权。7q以ARM、PowerPC、Nios和MicroBlaze为代表的RISC处理器软硬IP核、各种软硬IP核极大的加强了系统功能,可

4、以实现真正的可编程片上系统。qFPGA开发工具智能化程度高,功能强大。应用各种工具可以完成从输入、综合、实现到配置芯片等一系列功能。还有许多工具可以完成对设计的仿真、优化、约束、在线调试等功能。这些工具易学易用,可以使设计人员更能集中精力进行电路设计。8FPGA的发展趋势的发展趋势q向更高密度、更大容量的系统级方向发展。q向低成本、低电压、低功耗、微封装和环保型发展。qIP资源复用理念得到普遍认同并成为主要设计方式。qMCU、DSP和MPU等嵌入式处理器IP将成为FPGA应用的核心。第二章主流第二章主流FPGA器件介绍器件介绍10第第2章主要内容章主要内容q各厂商FPGA系列介绍qAltera

5、 FPGA主流器件介绍qXilinx FPGA主流器件介绍11FPGA厂商厂商vALTERA基于RAM工艺的通用FPGAvXILINX 基于RAM工艺的通用FPGAvACTEL 基于反熔丝工艺和FLASH工艺非易失性的FPGAvLATTICE具有混合工艺的特色FPGA12qALTERA公司FPGA系列vCyclone低成本FPGA系列,针对成本敏感的应用 Cyclone 130nm工艺 Cyclone II90nm工艺 Cyclone III65nm工艺vArria GX 带有收发器的中低成本FPGA系列,针对PCIE、千兆 以太网和Serial RapidIO vStratix 高端FPGA

6、系列,针对高密度高性能应用Stratix 130nm工艺Stratix II/GX90nm工艺Stratix III L/E65nm工艺 Stratix IV E/GT/GX40nm工艺13qXilinx公司FPGA系列vSpartan低成本FPGA系列,针对成本敏感的应用 Spartan IIE/II/XL 130nm工艺 Spartan 3/3E/3A/3AN/3A DSP90nm工艺 Spartan 6 LX/LXT45nm工艺 vVirtex 高端FPGA系列,针对高密度高性能应用Virtex II/IIPro130nm工艺Virtex 4 LX/SX/FX90nm工艺Virtex 5

7、 LX/LXT/SXT/FXT/TXT 65nm工艺 Virtex 6 LXT/SXT/HXT40nm工艺14qACTEL公司FPGA系列vProASIC3 最低成本、低功耗、可重编程非易失FPGA系列 ProASIC3/E 低功耗、低成本FPGA ProASIC3 nano 具有增强I/O功能的最低成本的FPGA ProASIC3L 6低功耗、高性能和低成本平衡的FPGA vIGLOO 低功耗、小面积、低成本、可重编程Flash FPGAIGLOO/e 功耗超低的可编程FPGA IGLOO nano 业界功耗最低、尺寸最小的FPGA IGLOO PLUS 具有增强I/O功能的低功耗FPGAv

8、Fusion 将可配置模拟部件、大容量 Flash 、时钟电路,以及基 于Flash的高性能可编程逻辑集成在单片器件中15qLattice公司FPGA系列vLatticeSC 高性能FPGA系列 LatticeSC 业界最快的FPGA结构,采纳了系统级特性。 vLatticeXP 非易失 的Flash FPGA系列 LatticeXP 290nm闪存片上存储器,瞬时上电、小的芯片面积、串行TAG存储器、设计安全性等。 支持现场升级(Live Updates)、128位的AES加密以及双引导技术。 vLatticeECP 低成本结构和一些先进特性的FPGA系列 LatticeECP3业界拥有SE

9、RDES功能的FPGA器件中,具有最低的功耗和价格16第第2章主要内容章主要内容qFPGA生产厂商介绍qAltera FPGA主流器件介绍qXilinx FPGA主流器件介绍17q主流低端器件Cyclone III65nm 低成本FPGA系列v 特性总结1819q主流高端器件Stratix IV 40nm 高性能、高端FPGAvStratix IV E非收发器应用的通用FPGA特性总结特性总结1、ALM:GT和GX型号有530K等价LE,E型号有680K等价LE;分段式的8输入LUT。2、可编程功耗技术:每一个可编程LAB、DSP模块和存储器模块都可工作在高速模式和低功耗模式3、外部存储器接口

10、:支持DDR3、DDR2、QDR II、QDR、RLDRAM和RLDRAM等外部DRAM和SRAM接口,速度可到533M/Hz4、DSP模块:具有1360个18位 x 18位乘法器,可灵活配置为多种模式。5、高速IO支持:支持可编程摆率、驱动能力、输出延时和OCT等功能,经过 优化后的LVDS IO性能在150M1.6G之间6、时钟管理: 12个PLL,速度在5720MHz之间。还有16个全局时钟、88个象限时钟及132个外围时钟。 2021vStratix IV GX 优异的带宽性能和信号完整性特性总结特性总结 22vStratix IV GT 带有11.3-Gbps收发器特性总结特性总结2

11、3q主流高端器件Stratix III 65nm 高性能、高端FPGAvStratix III-L逻辑、存储器和DSP资源平衡vStratix III-E增强了存储器和DSP资源 24第第2章主要内容章主要内容qFPGA生产厂商介绍qAltera FPGA主流器件介绍qXilinx FPGA主流器件介绍25q主流低端器件Spartan 3 90nm 低成本FPGA系列vSpartan 3密度优化的,适用于数据综合处理vSpartan 3E 逻辑优化的,适用于逻辑集成和嵌入式控制vSpartan 3AIO优化的,适用于多IO应用,如桥接,存储器接口vSpartan 3AN非易失的,适用于空间受限

12、的设计vSpartan 3A DSPDSP应用优化,适用于DSP相关应用26vSpartan 3特性:1、DCM频率5M300M,DDR/DDR2最高到400M,IO最大24mA驱动电流 2、支持19种IO标准和多种电平标准27vSpartan 3E资源增强特性逻辑密度高。支持多种配置方式(SPI,BPI等)28vSpartan 3A:适用于多IO的低成本应用增强特性1有suspend模式,可降低系统功耗增强特性2增强的DDR支持,IO密度高29vSpartan 3AN:整合了非易失MEMORY增强特性1内嵌11M的非易失MEMORY,节省外部空间,易用,简化设计增强特性2代码更安全30vSp

13、artan 3A DSP:内嵌高性能DSP模块增强特性1内嵌基于Virtex 4的DSP48A模块,独立布线,250M处理能力增强特性2增强了BLOCK RAM,工作频率250M31q主流低端器件Spartan 6 45nm 低成本、低功耗FPGA系列vSpartan-6 LX具有逻辑优化的vSpartan-6 LXT具有高速串行数据连接32vSpartan-6 LX的基本特性1、基于双寄存器、6输入查找表的slice2、IO支持1.2V3.3V的多种电平和多种接口标准;每对差分IO传输速度1Gb/s;支持DDR、DDR2、DDR3和RLDDR,最高支持速率800Mb/s;支持PCI-33MH

14、z 。3、增强的DSP处理模块- DSP48A14、增强的时钟管理模块(CMT),一个CMT由2个DCM和1个PLL组成。5、支持多种配置模式,包括低成本的SPI模式和NOR FLASH模式。6、增强的设计安全保护,使用了DNA身份验证方式和AES流加密方式7、低成本的增强型的软处理器MicroBlaze。33vSpartan-6 LXT的附加特性1、集成了高速GTP串行收发器,最高速率为3.125Gb/s,接口类型包括SATA,PCI-E,1G 以太网, DisplayPort, OBSAI, CPRI, EPON等。2、为PCI Express设计集成了Endpoint block。34q

15、主流高端器件Virtex5 65nm 高端高性能FPGA系列vVirtex-5 LX: 高性能通用逻辑应用vVirtex-5 LXT:具有高级串行连接功能的高性能逻辑应用vVirtex-5 SXT:具有高级串行连接功能的高性能信号处理应用vVirtex-5 FXT:具有高级串行连接功能的高性能嵌入式系统vVirtex-5 TXT:具有双密度高级串行连接功能的高性能系统35vVirtex-5 系列的基本特性1、真 6 输入查找表 (LUT) 技术,双 5-LUT 选项。2、时钟管理模块 (CMT) 具有零延迟缓冲、频率综合和时钟相移功能的数字时钟管理器模块;具有输入抖动滤波、零延迟缓冲、频率综合

16、和相位匹配时钟分频功能的PLL模块。3、真双端口 RAM 模块36 Kb Block RAM/FIFO4、高级DSP48E Slice5、支持多种配置模式,包括低成本的SPI模式和并行FLASH模式。6、所有器件都有系统监视功能(片上/片外热特性监视、片上 / 片外电源监视、通过 JTAG 端口访问所有监视量)7、LXT、SXT 和 FXT 器件同样封装中引脚兼容36vVirtex-5 LXT、SXT、TXT、FXT的特性1、 PCI Express 集成端点模块、 符合 PCI Express 基本规范 1.1 。2、三态 10/100/1000 Mb/s 以太网 MAC,可以将 Rocke

17、tIO 收发器用作 PHY,也可以用多种软 MII(媒体独立接口)方案将其连接到外部PHYvVirtex-5 LXT、SXT的特有功能100 Mb/s 到 3.75 Gb/s 的 RocketIO GTP 收发器vVirtex-5 TXT、FXT的特有功能150 Mb/s 到 6.5 Gb/s 的 RocketIO GTX 收发器vVirtex-5 FXT的特有功能包含了PowerPC 440 微处理器模块( RISC 架构、七级流水线、包括 32 KB 的指令和数据缓存)37vVirtex-5 LX、LXT系列器件38vVirtex-5 SXT、TXT、FXT系列器件1.Virtex-5 S

18、lice 的组织方式与前几代不同。每个 Virtex-5 Slice 包含四个 LUT 和四个触发器2. 每个 DSP48E Slice 包含一个 25 x 18 乘法器、一个加法器和一个累加器。3. Block RAM 的基本容量为 36 Kb。每个模块也可用作两个独立的 18 Kb 模块。4. 每个时钟管理模块 (CMT) 包含两个 DCM 和一个 PLL。39q主流高端器件Virtex-6 40nm 高端高性能FPGA系列 Virtex-6 系列FPGA是XILINX公司最新发布的产品,目前有两个系列:vVirtex-6 LXT具有高级串行连接功能的高性能逻辑应用vVirtex-6 SX

19、T具有高级串行连接功能的高性能信号处理应用第三章第三章Virtex 5硬件设计硬件设计41第第3章主要内容章主要内容qXILINX Virtex-5详细概述qVirtex-5 硬件特性q硬件电路设计要点42qVirtex-5 FPGA 逻辑v逻辑速度更高,比V4高12个等级v可级联的 32 位变量移位寄存器或 64 位分布式存储器功能v优异的布线架构,增强了对角线方向的布线,连接模块与模块之间的中间连线极少v多达 330,000 个逻辑单元43q550 MHz 时钟v多达六个时钟管理模块 (CMT) 每个 CMT 包含两个 DCM 和一个 PLL - 时钟发生器总数多达 18 个 灵活的 DC

20、M 到 PLL 或 PLL 到 DCM 级联v可实现优化低抖动时钟和精确占空比的差分时钟树结构v32 个全局时钟网络,还有局部时钟、I/O 时钟和本地时钟q550 MHz DSP48E Slicev25 x 18 补码乘法运算v用于乘法累加 (MACC) 运算的可选 48 位累加器,可选择将累加器级联为 96 位构v用于复数乘法运算或乘加运算的集成加法器。v可选按位逻辑运行模式v在一个 DSP 列中完全可级联,无需外部布线资源44q550 MHz集成模块存储器高达 16.4 Mb 的集成模块存储器带有可选双 18 Kb 模式的 36 Kb 模块真双端口 RAM 单元,每端口宽度可达 36 位,

21、独立的端口宽度选择 (1 位宽到 72 位宽) 对于简单双端口运行 (一个读端口和一个写端口),每端口宽度可达 72 位 支持 9 、18 、36 位和 72 位宽度的存储器位数及奇偶校验 / 边带存储器 从 32K x 1 到 512 x 72 的配置(8K x 4 到 512 x 72 用于 FIFO 运行) 多速率 FIFO 支持逻辑,支持同步 FIFO具有完全可编程近满标志和近空标志的满标志和空标志 字节写功能 专用级联布线,无需 FPGA 布线即可形成 64K x 1 存储器满足高可靠性存储器要求的集成可选 ECC针对 18 Kb (及以下)运行的特殊降功耗设计45qSelect I

22、Ov每个banks提供VRN和VRP参考电压,根据不同的参考电压提供不同的IO标准。v可以与外部具有不同电压和阈值的信号直接连接在速度/噪声性能方面达到优化平衡无需在单板上放置接口转换器件vIOB 可编程可编程单端或差分 (LVDS) 运行具有可选单倍数据速率 (SDR) 或双倍数据速率 (DDR) 寄存器的输入模块具有可选 SDR 或 DDR 寄存器的输出模块双向模块逐比特去歪斜电路专用 I/O 和区域时钟资源内置数据串行器 / 解串器46v支持多种差分信号标准LVDS 和扩展 LVDS (仅 2.5V)BLVDS (总线 LVDS)ULVDSHypertransport差分 HSTL 1.

23、5V 和 1.8V (I 和 II 级)差分 SSTL 1.8V 和 2.5V (I 和 II 级)RSDS (2.5V 点对点)v支持多种单端输入/输出标准LVTTLLVCMOS (3.3V、2.5V、1.8V、1.5V 和 1.2V)PCI (33 和 66 MHz)PCI-XGTL 和 GTLPHSTL 1.5V 和 1.8V (I、II、III 和 IV 级)HSTL 1.2V (一级)SSTL 1.8V 和 2.5V (I 和 II 级)47q数字可控阻抗(DCI)vDCI即通过特定的参考电压,在芯片内部提供IO管脚的特定匹配电阻vDCI使得输出驱动器可以与板上走线的阻抗相匹配为接收

24、器和发送器提供端接电阻vDCI优势通过消除短线反射来提高信号的完整性通过减少外部电阻的使用来降低布板的复杂度和减少元器件的数目内部的反馈电路可以消除由于温度电压和工艺变化带来的影响48q配置配置v支持 Platform Flash 配置、 SPI Flash 配置或标准并行 NOR Flash 配置v主串行模式 、从 SelectMAP 模式 、主 SelectMAP 模式 、 边界扫描模式 、SPI 模式 、BPI 模式 (字节宽度外设接口标准 x8 或 x16 NOR Flash) v专用的回读重新配置逻辑,可支持比特流 v256 位 AES 比特流解密,可保护知识产权并防止复制设计 v改

25、进的比特流检错 / 纠错功能 v自动总线宽度检测功能从动串行模式 v支持冷 / 热启动的多比特流管理 (MBM) v并行菊花链 v配置 CRC 和 ECC 支持,可实现最强大、最灵活的器件完整性校验 v通过 ICAP 端口进行部分重配置49qRocketIO GTP RocketIO GTP 收发器收发器v8 - 24 个通道 RocketIO GTP 收发器,速度:100 Mb/s 到 3.2 Gb/s (6.5Gb/s)。v完全时钟和数据恢复功能 v8/16 位或 10/20 位数据通路支持 v集成 FIFO/ 弹性缓冲器 v通道绑定和时钟校正支持 v嵌入式 32 位 CRC 生成 / 检

26、查 v集成的间隔符检测或 A1/A2 检测功能 v可编程预加重 (AKA 发射器均衡) v可编程发射器输出摆幅 ,可编程接收器均衡 ,可编程接收器终端 v嵌入式支持:带外 (OOB) 信令:串行 ATA;信标、电气空闲和 PCI Express 接收器检测 v针对接收器的片上可旁路 AC 耦合 v内置式 PRBS 生成器 / 校验器 50q三态三态 (10/100/1000 Mb/s) (10/100/1000 Mb/s) 以太网以太网 MAC MAC v符合 IEEE 802.3 v经过 UNH 一致性测试 v使用 SelectIO 技术的 MII/GMII 接口,或者当与 RocketIO

27、 收发器配合使用时的 SGMII 接口 v半双工或全双工 v支持巨型帧 v1000 Base-X PCS/PMA:当与 RocketIO GTP 收发器配合使用时,可提供完全 1000 Base-X 片上实现 v对微处理器的 DCR 总线连接51q与与 PCI Express PCI Express 兼容的集成端点模块兼容的集成端点模块 v与 RocketIO GTP 收发器配合使用,在尽量少用 FPGA逻辑的情况下提供全面的 PCI Express 端点功能。 v符合 PCI Express 基础规范 1.1 vPCI Express 端点模块或传统 PCI Express 端点模块 v8

28、倍、4 倍、2 倍或 1 倍通道宽度 v电源管理支持 v用 Block RAM 作缓冲 v完全缓冲式发送与接收 v访问 PCI Express 配置空间和内部配置的管理界面 v全程支持最大有效载荷 (128 字节到 4096 字节) v最多两条虚拟通道 (VC) v循环、加权循环或严格优先级 VC 仲裁 v最多 6 x 32 位或 3 x 64 位 BAR (或 32 位和 64 位组合) 52第第3章主要内容章主要内容qXILINX Virtex-5模块详细概述qVirtex-5 硬件特性q硬件电路设计53Virtex-5速度等级速度等级q速度等级 Virtex-5速度等级分为3个等级,即-

29、1(1(最慢最慢) )、-2和-3 (-3 (最快最快) )。不同的速度等级下,IO开关特性(LVTTL、LVCMOS、LVDS、HSTL、SSTL和GTL等)、RAM、MEMORY接口(DDR、DDR2和QDDR等)、乘法器、加法器和网络接口(SFI、SPI)的速度不同。54Virtex-5电源特性电源特性q核心电源 VCCint = 1V10%,静态电流大小为0.3A4.2A(与型号相关),动态电流与运行频率、使用资源等有关,可以使用Xilinx公司提供的仿真工具Xilinx Power Estimator进行评估。q辅助电源 VCCaux = 2.5V10%,静态电流大小为38mA350

30、mA(与型号相关),动态电流与运行频率、使用资源有关,可以使用Xilinx公司提供的工具Xilinx Power Estimator进行评估。qIO Bank电源VCCO=1.2V3.3V10%,静态电流大小为1.5mA12mA(与型号相关),动态电流与使用的实际情况有关。qKEY保持电源 Vbat=1V3.6V,Imax=150nA。55v差分信号标准LVDS 和扩展 LVDS (仅 2.5V)BLVDS (总线 LVDS)ULVDSHypertransport差分 HSTL 1.5V 和 1.8V (I 和 II 级)差分 SSTL 1.8V 和 2.5V (I 和 II 级)RSDS (

31、2.5V 点对点)v单端输入/输出标准LVTTLLVCMOS (3.3V、2.5V、1.8V、1.5V 和 1.2V)PCI (33 和 66 MHz)PCI-XGTL 和 GTLPHSTL 1.5V 和 1.8V (I、II、III 和 IV 级)HSTL 1.2V (一级)SSTL 1.8V 和 2.5V (I 和 II 级)Virtex-5 IO特性特性56vIO驱动能力LVTTL 输出电流224mALVCMOS (3.3V 、2.5V )输出电流224mALVCMOS (1.8V、1.5V )输出电流216mALVCMOS (1.2V) 输出电流28mAvIO SWITCH SPEED

32、不同的IO接口标准、IO电压和IO模式都会有不同的开关速度,详细数 据请参考相关器件的DATASHEETVirtex-5 IO特性特性57第第3章主要内容章主要内容qXILINX Virtex-5模块详细概述qVirtex-5 硬件特性q硬件电路设计58FPGA型号选择型号选择1、根据所需要的逻辑资源(LE) 和时钟资源(DCM个数),选择具有合适大小的LE和合适数目DCM的FPGA2、根据需要的IO数选择合适的FPGA的封装形式。3、选择封装时考虑一下芯片的面积和布线情况。59选择选择FPGA 的配置的配置EPROM1、查看数据手册根据,根据FPGA的型号选择合适容量的EPROM。2、根据需

33、要选择相应的配置模式。主/从 SelectMAP 模式:速度很快,连线多,不便于布线主/从 串模式:连线少,布线方便,但速度慢SPI模式:布线较方便,速度一般,成本低。BPI模式:成本低,速度快,连线多,不便于布线。3、 根据配置模式选择相应的EPROM的型号。SelectMAP 模式和串行模式:使用XILINX公司的Platform FlashSPI模式:具有标准SPI接口的Flash 。BPI模式:具有标准并行接口的NOR Flash 。60FPGA 的电源系统的电源系统1、根据FPGA的型号利用Xilinx提供的工具XPE对我们所试用的情况做评估后,得出下图所示的表格:61FPGA 的电

34、源系统的电源系统2、根据需要选择合适的电源芯片。一般FPGA的电源所需电流比较大,建议采用开关电源芯片。BANK电压:不同的bank可以使用不同的电压。VBAT供电:可以选用扣式锂电池或超级电容供电。3、上电时序有些型号的FPGA对上电时序有要求,请参考其DATASHEET选择有上电控制的电源芯片。62FPGA 的电源系统实例方案的电源系统实例方案63FPGA 的电源分组的电源分组64FPGA 的的IO管脚管脚1、专用管脚:有特殊功能(如配置相关管脚,JTAG相关脚,),不能用作其他使用。2、多功能管脚:既可以做功能管脚使用,也可作为IO使用,如Vref、VRP、VRN、A0A25、D0D32

35、等3、通用IO:如使用差分模式,请注意所对应的差分对。4、IO保护:对于外引的IO应尽量给于保护,防止外部的静电、大电流等损坏IO管脚,导致FPGA芯片损坏。65FPGA 的的IO BANK 661156个个PINTop Signal Layer671156个个PIN2th、3th Signal Layer681156个个PIN4th、5th Signal Layer691156个个PIN6th Signal Layer70去耦电容的选择和放置去耦电容的选择和放置71去耦电容的用法和放置去耦电容的用法和放置72去耦电容的用法和放置去耦电容的用法和放置第四章第四章V4LX160 FPGA平台介绍

36、平台介绍74V4LX160FPGA平台架构平台架构75平台上的资源平台上的资源1、FPGA 型号:XC4VLX160F1148。2、其他资源:时钟晶振:2路可插拔晶振,连接FPGA的全局时钟密钥保持电池配置FLASH:两片32M的 Platform Flash 。按键:一个Reload按键和一连接到IO上得个按键。指示灯:3.3V、4.3V、FPGA DONE分别对于3个指示灯3、IO接口:提供约600个用户I/O,电压均可配置为3.3/2.5/1.8V两个SAMTEC 200pin高密度连接件提供超过360个用户I/O1个120pin和1个60PIN高速连接件,提供超过150个高速I/O 。1个1.27mm 普通100pin排母,提供超过90个I/O 。1个50pin双排针,提供50个I/O,可做IO使用也可供观测4、对外电源接口:1个2.54mm普通2pin连接件,提供6V/1A 2个pin的BANK电压,电流500mA,同时提供3.3V电源电流500mA7677

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号