毕业论文-基于CPLD的多功能脉冲分配器的设计.doc

上传人:M****1 文档编号:557585764 上传时间:2023-07-09 格式:DOC 页数:42 大小:1.04MB
返回 下载 相关 举报
毕业论文-基于CPLD的多功能脉冲分配器的设计.doc_第1页
第1页 / 共42页
毕业论文-基于CPLD的多功能脉冲分配器的设计.doc_第2页
第2页 / 共42页
毕业论文-基于CPLD的多功能脉冲分配器的设计.doc_第3页
第3页 / 共42页
毕业论文-基于CPLD的多功能脉冲分配器的设计.doc_第4页
第4页 / 共42页
毕业论文-基于CPLD的多功能脉冲分配器的设计.doc_第5页
第5页 / 共42页
点击查看更多>>
资源描述

《毕业论文-基于CPLD的多功能脉冲分配器的设计.doc》由会员分享,可在线阅读,更多相关《毕业论文-基于CPLD的多功能脉冲分配器的设计.doc(42页珍藏版)》请在金锄头文库上搜索。

1、盐城工学院本科生毕业设计说明书(2007)毕业设计说明书基于CPLD的多功能脉冲分配器的设计专业电子信息工程学生姓名韩 清班级B电子032班学号0310620206指导教师成 开 友完成日期2007年6月14日1基于CPLD的多功能脉冲分配器的设计摘 要现代经济快速发展,步进电机作为能够精确步进定位的器件,被广泛应用于不同的行业中。但是步进电机自身不能控制运行,需要借助步进电机控制器来控制。而脉冲分配器作为步进电机控制器中的核心设备,将直接控制步进电机的运转方式和运转速度。设计的脉冲分配器能实现多种功能。通过模式键切换,实现步进电机分三相六拍和双三拍两种模式工作;根据复位键的高低电平,决定步进

2、电机是否运转;有方向控制选择键,实现对步进电机的正、反方向运转的控制;并且具有分频功能,可以将输入频率生成八种不同频率输出来控制步进电机的转速。对脉冲分配器的设计以XILINX公司的XC9572 CPLD芯片为硬件主体和超高速集成电路硬件描述语言VHDL为软件主体。这种设计方法具有设计简单、代码通用、系统灵活性和可靠性强的优势。文中详细介绍了脉冲分配器中XC9572 CPLD芯片、分频器和状态机的设计细节。由于是基于可编程逻辑器件并结合VHDL语言设计的步进电机脉冲分配器,因此其突出的优点就是有良好的升级特性,能很好地提高产品的竞争优势。关键词: 步进电机;脉冲分配器;VHDL;CPLD Th

3、e design of Multifunctional Pulse Distributor based on CPLDAbstractWith the rapid development of modern economy, stepper motor, as a device which can precisely positioning, is widely used in various industries. However, stepper motor can not control operation itself, thus stepper motor controller is

4、 needed. Meanwhile, the pulse distributor, as the core equipment of stepper motor controller, will be in direct control of the stepper motor operation and the operation of speed.The pulse distributor is designed to carry out its various functions, among which are: by key mode switching, two modes of

5、 six hours of three-phase and three-bats can be shoot; according to the high or low level of the electricity of the reset button, whether stepper motor is in operation can be decided; the control of the all-direction operation of stepper motor can be carried out by the direction control keys; moreov

6、er, this pulse distributor has the function of frequency-distribution, it can control the speed of the stepper motor by transferring the input frequency into eight different output frequencies.The design of this pulse distributor employs XC9572 CPLD chip which produced by XILINX company as its main

7、hardware and VHDL as its main software. This method is simple in design, with a common code, a flexible system and has advantages in its reliability. This paper describes in detail about the pulse split XC9572 CPLD, the dividers and the design details of the state machine.Because it is the stepper m

8、otor pulse distributor which is based on programmable logic device and VHDL design, it has the obvious advantages of a good upgrades and a good competitive advantage.Key words: Stepper Motor; Pulse Distributor; VHDL; CPLD目 录1 概 述12 步进电机脉冲分配器实现方式的论证22.1 几种实现方式比较22.2 确定方案43脉冲分配器的设计43.1 脉冲分配器的硬件部分设计43.

9、1.1 XC9572芯片介绍53.1.2 XC9572芯片在设计中有关管脚功能分析63.2 脉冲分配器的软件部分设计及波形仿真83.2.1 VHDL语言的特点和基本结构83.2.2 控制逻辑分析93.2.3 分频模块的设计和波形仿真113.2.4 脉冲分配状态机的设计和波形仿真143.2.5 整个脉冲分配器芯片的顶层实体实现183.3 设计综合输出184放大电路选用185步进电机的选用205.1 磁阻式步进电机的工作原理205.2 磁阻式步进电机按设计要求的工作方式215.2.1 三相六拍工作215.2.2 双三拍工作236 结 束 语23参考文献24致 谢25附 录26附录1 顶层文件程序清

10、单26附录2 分频程序清单28附录3 脉冲分配程序清单33基于CPLD的多功能脉冲分配器的设计1 概 述 步进电机是将电脉冲信号转换成角位移的一种机电式数模转换器。每当输入一个脉冲时,转轴便转过一个固定的机械角度。步进电机旋转的角位移与输入脉冲的个数成正比,其转速与输入脉冲的频率也成正比,其转动方向由输入脉冲对绕组加电的顺序决定。它具有快速起停、精确步进、没有累积误差且能直接接受数字信号的特点,在数字控制系统中得到了广泛的应用。尤其在数控设备、航空、导弹等工业中一般都采用步进电机作为伺服执行元件。步进电机要依靠专门的设备(步进电机驱动控制器)才能运行。对实际的步进电机控制系统来说,脉冲分配器是

11、整个系统的核心电路。系统框图如图1-1所示。图1-1 步进电机控制系统框图常用的步进电机控制系统采用专用控制器或者微机控制。而传统的控制器往往使控制系统成本较高,而且性能不稳定。微机控制用软件进行控制,程序量较大,占用CPU时间较多,而且抗干扰能力差。因此用户在使用传统驱动控制时往往需要做许多工作,才可实现按设计要求控制步进电机,有时甚至不能够完成控制任务。随着步进电机应用范围的不断扩大,以及适应当今产品智能化的要求,设计结构简单、功能实用的智能步进电机脉冲分配器系统十分必要。脉冲分配器作为步进电机控制器中的核心设备,设计中可采用功能强大的硬件描述语言设计具有多种输出模式的脉冲分配器;还可对输

12、入脉冲分配器的时钟信号进行分频,实现步进电机调速范围选择。同时脉冲分配器可采用可编程逻辑器件为控制芯片,它能控制指令按照一定顺序和分配方式传送到功率放大器,经过放大后控制步进电机的绕组按照预先规定的工作方式通、断电,驱动电机转子旋转。所设计的多功能脉冲分配器技术要求如下:1、可以输出两种脉冲:一种为双三拍,另一种为三相六拍;2、有一个模式键来切换输出形式,当功能键按下时为三相六拍输出,当缺省时为双三拍输出;3、有一个复位信号EX,当EX为高电平时,输出为低电平;4、电机控制有一方向选择控制端DIR,当DIR为高电平时,电机反转,反之为正转;5、DIR有效电平时插入,要能使 A路在下一拍即改变转

13、向;6、有三位输出频率控制器,可以将输入频率分成八种频率输出;7、要求用 VHDL语言来实现,并提供仿真波形图;8、设计平台用XILINX公司XC9572来实现。设计主要解决的是如何使脉冲分配器既要实现三相六拍工作,又要实现双三拍工作;同时为了使步进电机调速范围广,并且无需频繁中断,还需要设计分频器对输入脉冲进行有效地八种分频。具体的设计思路如下:论证步进电机脉冲分配器的实现方式,确定用可编程逻辑器件来实现,把XILINX公司XC9572高性能的CPLD器件作为脉冲分配器的芯片;通过逻辑分析后,用VHDL语言设计分频器和状态机的程序,并把程序进行编译,再通过波形仿真,形成仿真波形图;选用斩波驱

14、动放大电路和磁阻式步进电机。基于CPLD的多功能脉冲分配器能达到设计的技术要求,基本能够按设计要求工作。2 步进电机脉冲分配器实现方式的论证2.1 几种实现方式比较(1)逻辑电路法中、小规模数字集成电路(如74系列及其改进系列、CC4000系列、74HC系列等)都属于通用型数字集成电路。这种方法是由与或门电路和触发器构成的,它们的逻辑功能都比较简单,而且是固定不变的。由于它们的这些逻辑功能在组成复杂数字系统时经常要用到,所以这些器件有很强的通用性,早期的电路设计大多采用这种方法来实现。从理论上来讲,用这些通用型的中、小规模集成电路可以组成复杂的数字系统,但是在目前的应用中,由于控制要求的不同和

15、应用范围的变大,为了实现复杂的要求就需要增加一定的门电路和触发器,其印制板的面积随之增加,成本也随之增加,而可靠性却随之下降,其电路也比较复杂。另外这种脉冲分配器的最大缺点是:脉冲分配的路数受计数器和译码器的限制,不能是任意的,它还要有专门的控制和功放电路,其应用灵活性差。因此现在这种方法已经很少采用了。(2)专用集成电路法如果把所设计的数字系统做成一片大规模集成电路,则不仅能减少电路的体积、重量、功耗,而且会使电路的可靠性大为提高。这种为某种专门用途而设计的集成电路叫做专门集成电路,即所谓的ASIC(Application Specific Integrated Circuit的缩写)。目前脉冲分配器的专门集成电路已经有很多,如5G674、CH250等。它们集成度高,抗干扰能力强,但是这些集成电路控制方式都不灵活。集成电路设计完成以后其逻辑关系和时序过程就完全确定了,无法修改和更新,所以在很大程度上限制了它的应用范围。而且在用量不大的情况下,设计和制造这样的专用集成电路不仅成本很高,而且设计、制造的周期也太长。(3)软件实现(由单片机或PC机的扩展I/O输出)采用软件实现,即利用查表或计算的方

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 其它文档 > 租房合同

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号