篮球比赛计时计分系统设计

上传人:工**** 文档编号:512577217 上传时间:2023-02-28 格式:DOCX 页数:32 大小:853.83KB
返回 下载 相关 举报
篮球比赛计时计分系统设计_第1页
第1页 / 共32页
篮球比赛计时计分系统设计_第2页
第2页 / 共32页
篮球比赛计时计分系统设计_第3页
第3页 / 共32页
篮球比赛计时计分系统设计_第4页
第4页 / 共32页
篮球比赛计时计分系统设计_第5页
第5页 / 共32页
点击查看更多>>
资源描述

《篮球比赛计时计分系统设计》由会员分享,可在线阅读,更多相关《篮球比赛计时计分系统设计(32页珍藏版)》请在金锄头文库上搜索。

1、篮球比赛计时计分系统摘要本设计是采用QUARTUS II作为本设计的核心元件,以VHDL为主要编 程语言来设计在篮球比赛时所用到的计时系统,计分系统。利用7段共阴LED 作为显示器件。在此设计中共接入了 17个7段共阴LED显示器。在计时系统中:4个LED显示器则用来记录赛程时间,其中2个用于显 示分钟,2个用于显示秒钟;5个LED显示器则用来记录比赛全程时间,3个 用于显示分钟,2个用于显示秒钟;2个LED用来记录球员进攻时间,2个全 部显示秒钟。赛程计时采用倒计时方式,即比赛前将时间设置好,比赛开始时 启动计时,直至计时到零为止,。计时范围可达到 012分钟,也完全满足实际 赛程的需要,期

2、间当比赛时间到最后5秒时开始报警。球员进攻计时也采用倒 计时,球员一拿到球就开始计时,当24秒结束时球员还没投球蜂鸣器就开始 报警。比赛全程计时用正计时,计时范围为000999分钟。在计分系统中当:6个用于记录A、B队的分数,每队3个LED显示器 显示范围可达到0999分,足够满足赛程需要。当比赛队A对得分时,按下 A键给A对加分;当再次按下A键时给B对加分。EDA技术为数字类产品提供了一个非常简便实用的开发平台。随着EDA 技术的快速发展,各类计时计分器件的设计也变的简单。本设计就是基于EDA 技术和数字电路的基础知识,利用QuartusII软件来设计篮球比赛的计分计时 系统的芯片,并能成成

3、功仿真出波形。通过分别设计十进制,六十进制,十二 进制九百九十九进制等模块,然后再通过连线使其达到所期望的模块。关键词:QUARTUS II,VHDL编程,LED显示,篮球比赛,计时,计分目录第一章 绪论 1第二章 设计内容及思路 22.1设计内容 22.2设计思路 2第3章 总体设计 4第3章 总体设计 53.1计时系统单元模块设计53.1.1 六十进制加计数器 53.1.2 十进制加法计数器 73.1.3 二十四进制减计数器 83.1.4 六十进制减计数器 113.1.5 十二进制减计数器 153.1.6 译码管显示部分 173.2计分系统设计 18第四章 原理图设计 224.124秒进攻

4、倒计时原理图 224.212分钟倒计时原理图 224.3全程比赛计时原理图234.4计分器原理图 24第五章 设计调试体会与总结25参考文献26第一章 绪论篮球比赛在中国越来越受到人们的关注,同时也被更多的青少年所喜爱。 本设计除了具有赛程时间计时、暂停和比赛计分的功能,还具有 24s 倒计时的 功能。从另一方面说,本设计方便了人们比赛时的计时计分工作,在某种程度 上也促进了篮球赛的开展,既有利于发展篮球这项体育运动,又有利于增强人 们的体质。另外参与篮球运动的人多了,也利于篮球运动员的选拔,对我们国 家的篮球事业也具有促进作用。体育比赛计时计分系统是对体育比赛过程中所 产生的时间,比分等数据

5、进行快速采集记录,加工处理,传递利用的信息系统。 根据不同运动项目的不同比赛规则要求,体育比赛的计时计分系统包括测量 类,评分类,命中类,制胜类得分类等多种类型。篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因 此,篮球比赛的计时计分系统是一种得分类型的系统。篮球比赛的计时系统由 计时器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮 球比赛计时系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种 设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。篮球比赛计时计分器是为了解决篮球比赛时计分与计时准确的问题。本设 计利用QUARTUS II软件和VHDL编

6、程完成了设计计时和计分系统的功能。 本设计较详细地介绍了计时计分系统的设计过程,采用该设计可根据实际情况 进行比分和时间的准确显示,简单方便,可靠性强。第二章 设计内容及思路2.1 设计内容此次设计是采用QUARTUS II作为本设计的核心元件一 VHDL为主要编 程语言来设计在篮球比赛时所用到的计时系统,计分系统。利用7段共阴LED 作为显示器件。在此设计中共接入了 17个7段共阴LED显示器。在计时系统中:4个LED显示器则用来记录赛程时间,其中2个用于显 示分钟,2个用于显示秒钟;5个LED显示器则用来记录比赛全程时间,3个 用于显示分钟,2个用于显示秒钟;2个LED用来记录球员进攻时间

7、,2个全 部显示秒钟。赛程计时采用倒计时方式,即比赛前将时间设置好,比赛开始时 启动计时,直至计时到零为止,。计时范围可达到 012分钟,也完全满足实际 赛程的需要,期间当比赛时间到最后5秒时开始报警。球员进攻计时也采用倒 计时,球员一拿到球就开始计时,当24秒结束时球员还没投球蜂鸣器就开始 报警。比赛全程计时用正计时,计时范围为000999分钟。在计分系统中当:6个用于记录A、B队的分数,每队3个LED显示器 显示范围可达到099分,足够满足赛程需要。当比赛队A对得分时,按下A 键给A对加分;当再次按下A键时给B对加分。2.2 设计思路篮球比赛计时记分系统程序多而且复杂,所以用图形设计方法来

8、设计。先 把每一模块的程序写好,然后生成图形文件,最后新建一个顶层图形文件,把 各模块联结起来。包括的模块有计时模块、记分模块及显示模块等。计时模块包括每节比赛的 12 分钟,进攻时间的24 秒,以及比赛的全程时 间。这三个模块可以利用 12 进制,24 进制,和60 进制的减法计数器以及 10 进制 60 进制加法计数器来表示。计分模块可以使用计数器表示双方的比分。其中,使用一个数据选择模块 来选择得分的一方。并且用一个 100进制的加法计数器来表示双方得分。程序总体框图如图 2-1 所示:图 2-1主程序流程图如图 2-2 所示:第三章 总体设计3.1 计时系统单元模块设计3.1.1 六十

9、进制加计数器这个计数器用来表示全程比赛时间的秒钟位,具备复位功能。当给 CLK 输入脉冲信号时进行加法计数,当计数器加到60秒时COUT输出一个高电平, 向十进制加法计数器进位。REST等于1时计数器复位。S1和S2接到LED显 示管上。六十进制加法计数器器件如图3-1inLKSt Cl 3.0RESET5EC2J3.0COUT:?: rCNTW:5:图 3-1六十进制加法计数器的VHDL语言为:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT60 ISPORT (CLK, R

10、ESET: IN STD_LOGIC;SEC1, SEC2: OUT STD_LOGIC_VECTOR (3 DOWNTO 0);COUT: OUT STD_LOGIC);END CNT60;ARCHITECTURE BEHAV OF CNT60 ISSIGNAL SEC1_T, SEC2_T:STD_LOGIC_VECTOR (3 DOWNTO 0);BEGINPROCESS (CLK,RESET)BEGINIF RESET=1 THENSEC1_T=0000;SEC2_T=0000;ELSIF CLKEVENT AND CLK=1 THENIF SEC1_T=1001 THEN SEC2_

11、T=0000;IF SEC2_T=0101 THEN SEC2_T=0000;ELSE SEC2_T=SEC2_T+1;END IF;ELSE SEC1_T=SEC1_T+1;END IF;IF SEC1_T=1001 AND SEC2_T=0101 THEN COUT=1;ELSE COUT=0;END IF;END IF;END PROCESS;SEC1=SEC1_T;SEC10);ELSIF CLKEVENT AND CLK=1 THENIF EN=1 THENIF Q10);END IF;END IF;END IF;IF Q1=9 THEN COUT=1;ELSECOUT=0;END

12、IF;Q=Q1;END PROCESS;END BEHAV;十进制加法计数器仿真波形如图3-4 所示erTrncBar:15.0?SPoHdi:T4E* IrtErrd:-1;05.5- StarkEnd: |图 3-43.1.3 二十四进制减计数器这个计数器用来表示进攻时间的,具备复位功能。当给CLK输入脉冲信 号时进行减法计数,当计数器减到0,WARN输出一个高电平,报警器开始报 警。REST等于1时计数器复位,CLR等于1时计数器清零,ENB等于1时 计数器工作。二十四进制减计数器程序流程图3-5 所示二十四进制减计数器器件如图 3-6 为图 3-6二十四进制减计数器的 VHDL 语言为:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT24D ISPORT (CLK, CLR: IN STD_LOGIC;RST, ENB: IN STD_LOGIC;WARN: OUT STD_LOGIC;S1, S2: OUT STD_LOGIC_VECTOR (3 DOWNTO 0);END CNT24D;ARCHITECTURE A OF CNT24D ISSIGNAL DD: STD_LOGIC_VECTOR(3 DOWNTO 0

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号