vhdl--实训论文

上传人:cn****1 文档编号:504427763 上传时间:2023-01-17 格式:DOC 页数:19 大小:316KB
返回 下载 相关 举报
vhdl--实训论文_第1页
第1页 / 共19页
vhdl--实训论文_第2页
第2页 / 共19页
vhdl--实训论文_第3页
第3页 / 共19页
vhdl--实训论文_第4页
第4页 / 共19页
vhdl--实训论文_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《vhdl--实训论文》由会员分享,可在线阅读,更多相关《vhdl--实训论文(19页珍藏版)》请在金锄头文库上搜索。

1、实训设计说明书学院:信息工程学院 班级:08电信2班 姓名: 学号: 指导老师:推荐精选目录1. 中英文摘要2. 关键词.3. 前言4. 设计原理、过程、内容5. 程序分析.6. 设计结果说明7. 设计总结8. 参考文献.9. 程序附录.推荐精选一、 摘要:中文摘要:随着社会的不断发展,通信对我们来说越来越显得重要,对于通信技术来讲,通信的质量也就显的非常关键。通信的根本任务是如何保证远距离传输信息的正确性,由于信号是离散的,被噪声干扰后的信号只要没有超过门限,接收端就能够完全正确的判断出传输的信息;对于模拟传输系统,只要有稍微的干扰都会使传输的信息产生错误,也正是由于这样的原因,数字通信系统

2、才能在各方面替代模拟通信系统,成为现代通信的最基本方式。为了便于区别信号与噪声,使通信信号不失真和不受干扰,往往给测量信号赋予一定特征。这就是调制的主要功能。调制就是用一个信号去控制另一个作为载波的信号,让后者的某一特征参数按前一信号变化。再将测量信号调制,并将它和噪声分离,放大等处理后,还要从已经调制的信号中提取反应被测量的测量信号,这一过程通过解调为了更好的利用通信信道的带宽,并使信号能够传输更远的距离,在数字载波通信中,我们采用三种调制方式,ASK、FSK、PSK。调制信号为二进制信号的调制称为二进制数字调制。本课题主要是数字频率调制又称频移键控(FSK),同时利益能够ise软件来实现对

3、FSK系统的仿真和分析,从而通过运用模拟的视觉化得手段来实现达到调制解调的目的。推荐精选英文摘要:With the continuous development of society, communication become more and more important for us, for communication technology, communication will tell the quality of the show is critical. The basic task of the communication is how to guarantee the cor

4、rectness of the long-distance transmission information, because the signal is discrete, after the signal noise as long as no more than threshold, the receiver can completely correct judgment out the information transmitted; For analog transmission system, as long as there is a little bit of interfer

5、ence can make the information transmitted errors, it was also because of this reason, digital communication system in all aspects to replace analog communications system, become the most basic way of modern communications. To facilitate the difference between signal and noise, make the communication

6、s signals not distortion and not suffer interference, often to measure the signal characteristics to certain. This is the main functions of the modulation. Modulation is to use a signal to control the other as a carrier signal, let the latter a characteristic parameters according to a signal changes

7、 before. To measure the signal will be, and will it and modulation separating noise, amplification, etc, but also from the treatment has the modulated signals measured response has been extracted to measure the signal, the process through the demodulation in order to make better use of communication

8、 channel bandwidth, and can be transmitted signal farther distances in digital carrier communication, we use three modulation mode, ASK, FSK, PSK. Modulation signal for binary signals of a binary number modulation is called. This topic is digital frequency modulation is also called FSK (FSK), and in

9、terests can ise software to realize the system simulation and analysis of FSK, and through the use of visual simulation have the means to accomplish achieve the purpose of demodulation. 推荐精选二、 关键词 数字通信、FSK信号、载波信号、系统时钟、调制信号、基带信号三、 前言系统仿真是20世纪40年代末以来伴随着计算机技术的发展而逐步形成的一门新兴学科。仿真(simulation)就是通过建立实际系统模型并利

10、用所见模型对实际系统进行试验研究的过程。最初,仿真技术主要用于航空、航天、原子反应堆等价格昂贵、周期长、危险性大、实际系统试验难以实现的少数领域。后来逐步发展到电力、石油、化工、冶金、机械等一些主要工业部门,并进一步扩大到社会系统、经济系统、交通运输系统、生态系统等一些非工程系统领域。可以说,现代系统仿真技术和综合性仿真系统已经成为任何复杂系统,特别是高技术产业不可缺少的分析、研究、设计、评价、决策和训练的重要手段。其应用范围在不断扩大,应用效益日益显著。通信技术的飞速发展使得通信系统日趋复杂,通信系统设计的EDA技术在研发阶段实现软件仿真已经成为时尚。电子设计自动化技术已经从单纯的性能模拟仿

11、真、系统元件仿真发展到系统仿真,直至指导系统设计,同时用ise也可以仿真。本课题研究的是用ise仿真设计FSK的调制过程。推荐精选四、 实验目的、过程、内容1) 原理:FSK就是利用载波信号的频率变化来传递数字信息。 在2FSK中,载波的频率随二进制基带信号在f1和f2两个频率点之间变化。故其表达式为:也就是说,一个2FSK信号可以看成是两个不同载频的2ASK信号的叠加。因此,2FSK信号的时域表达式又可以写成:在移频键控中, 和 不携带信息,通常可以令和 为零。因此,2FSK信号的表达式可简化为:其中:2FSK信号的产生方法主要有两种。一种可以采用模拟调频电路来实现,另一种可以采用键控法来实

12、现,即在二进制基带矩形脉冲序列的控制下通过开关开关 推荐精选开关是最常见的电子元件,功能就是电路的接通和断开。接通则电流可以通过,反之电流无法通过。在各种电子设备、家用电器中都可以见到开关。 全文电路对两个不同的独立频率源进行选通,使其在每个码元Ts期间输出f1或f2两个载波之一。这种方法产生2FSK信号的差异在于:由调频法产生的2FSK信号在相邻码元之间的相位是连续变化的。而键控法产生的2FSK信号,是由电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一定连续。 针对FSK信号的特点,我们可以提出基于FPGA的FSK调制器一种实现方法-分频法,这种方法是利用数字信号去控制可变分

13、频器的分频比来改变输出载波频率,产生一种相位连续的FSK信号,而且电路结构简单,容易实现。在2FSK信号中,载波频率随着二元数字基带信号(调制信号)的1或0而变化,1对应于频率为f1的载波,0对应于频率为f2的载波。2FSK的已调信号的时域表达式为: 2FSK信号的常用解调方法是采用非相干解调和相干解调。其解调原理是将2FSK信号分解为上下两路2ASK信号分别进行解调,然后进行判决。这里的抽样判决是直接比较两路信号抽样值的大小,可以不专门设置门限。推荐精选判决规则应与调制规则相呼应,调制时若规定1符号对应载波频率f1,则接收时上支路的样值较大,应判为1,反之则判为0。键控法也常常利用数字基带信

14、号去控制可变分频器的分频比来改变输出载波频率,从而实现FSK的调制。实现2FSK调制的原理方框图如图1所示。图1 2FSK调制实现原理框图其中FSK调制的核心部分包括分频器、二选一选通开关等,图中的两个分频器分别产生两路数字载波信号;二选一选通开关的作用是以基带信号作为控制信号,当基带信号为0时,选通载波f1;当基带信号为1时,选通载波f2。从选通开关输出的信号就是数字FSK信号。这里的调制信号为数字信号。2) 设计过程打开ise软件,新建一个工程推荐精选建立一个new sourse 中的vhdl module:推荐精选建立波形仿真文件:推荐精选程序结果仿真如下:五、 程序分析library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_FSK isport(clk :in std_logic; -系统时钟 start :in std_logic; -开始调制信号 x :in std_logic; -基带信号 y :out std_logic); -调制信号end P

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号