汽车尾灯课程设计++VHDL++EDA

上传人:夏** 文档编号:489475451 上传时间:2023-09-02 格式:DOC 页数:13 大小:274.50KB
返回 下载 相关 举报
汽车尾灯课程设计++VHDL++EDA_第1页
第1页 / 共13页
汽车尾灯课程设计++VHDL++EDA_第2页
第2页 / 共13页
汽车尾灯课程设计++VHDL++EDA_第3页
第3页 / 共13页
汽车尾灯课程设计++VHDL++EDA_第4页
第4页 / 共13页
汽车尾灯课程设计++VHDL++EDA_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《汽车尾灯课程设计++VHDL++EDA》由会员分享,可在线阅读,更多相关《汽车尾灯课程设计++VHDL++EDA(13页珍藏版)》请在金锄头文库上搜索。

1、目录1. 引言 11.1 设计的目的 11.2 设计的基本内容 11.3 EDA 的介绍 11.3.1 EDA 技术的概念 11.3.2 EDA 技术的特点 21.3.3 EDA 设计流程 21.4 硬件描述语言 VHD)L 21.4.1 VHDL 的介绍 21.4.2 VHDL 语言的特点 32. 总体设计 42.1 需求分析 42.2 汽车尾灯控制器的工作原理 42.3 汽车运行状态表和总体框图 53. 详细设计 63.1 各组成模块 63.2 时钟分频模块 63.3 汽车尾灯主控模块 63.4 左边灯控制模块 73.5 右边灯控制模块 94. 系统仿真与调试 104.1 分频模块仿真及分

2、析 104.2 汽车尾灯主控模块仿真及分析 104.3 左边灯控制模块仿真及分析 114.4 右边灯控制模块仿真及分析 114.5 整个系统仿真及分析 124.6 总体设计电路图 12 总结 13 参考文献 141. 引 言随着社会的发展,科学技术也在不断的进步, 状态机的应用越来越广泛。现代交 通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解 决交通安全问题一种好的途径。在本课程设计根据状态机原理1 实现了汽车尾灯常用控制。1.1 设计的目的本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导

3、,通过学习 的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本 单元电路的综合设计应用。通过对实用汽车尾灯控制器 3的设计,巩固和综合运 用所学知识,提高 IC 设计能力,提高分析、解决计算机技术实际问题的独立工作 能力。1.2 设计的基本内容根据计算机中状态机原理,利用 VHDL设计汽车尾灯控制器的各个模块,并使 用 EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为 4 个模块:时钟 分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块 整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信 号,汽车尾灯将正确显示当前汽车的控

4、制状态。1.3EDA的介绍1.3.1EDA 技术的概念EDA是电子设计自动化vElectronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计CAD、计算机辅助制造CAM、计算机辅助测试 CAT和计算机辅助项目CAE的概念发展而来的。EDA技术就是以计算机为工 具,设计者在EDA软件平台上,用硬件描述语言 HDL完成设计文件,然后由计算 机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对 于特定目标芯片的适配编译、逻辑映射和编程下载等工作。1.3.2EDA 技术的特点利用EDA技术进行电子系统的设计,具有以下几个特点:用软件的方式设计硬

5、件;用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完 成的; 设计过程中可用有关软件进行各种仿真;系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此, EDA 技术是现代电子设计的发展趋势。1.3.3EDA 设计流程典型的EDA设计流程如下:1、文本/原理图编辑与修改。首先利用 EDA工具的文本或图形编辑器将设计 者 的 设 计 意 图 用 文 本 或 图 形 方 式 表 达 出 来 。2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式 , 为 下 一 步 的 综 合 做 准 备。3、综合。将软件设计与硬件的可实现性挂钩,是将

6、软件转化为硬件电路的 关键步骤。4、行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计 描 述 与 设 计 意 图 的 一 致 性 。5、适配。利用FPGA/CPL布局布线适配器将综合后的网表文件针对某一具体 的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、 布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方 程描述情况。6、功能仿真和时序仿真。7、下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下 载文件通过FPGA/CPL下载电缆载入目标芯片中。8 、 硬件仿真与测试。1.4 硬件描述语言 。BEGINPROCESS(CL

7、KBEGINIF CLKEVENT AND CLK = 1THENCOUNT = COUNT + 1。END IF。END PROCESSoCP oEND ART o3.3汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3所示CTRLLEFTLPRIGHTRPLRBRAKE图3.3主控模块工作框图汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段 VHDL弋码:ARCHITECTURE ART OF CTRL ISBEGINNIGHT_LED=NIGHT。BRAKE_LEDVARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0。BEGINTEMP:=LEFT &

8、 RIGHT oCASE TEMP ISWHEN 00 =LP=0 oRP=0oLRLP=0 oRP=1oLRLP=1 oRP=0oLRLP=0。RP=0。LR=1。END CASE。END PROCESSoEND ART o3.4左边灯控制模块左边灯控制模块的工作框图如图3.4所示。LC图3.4左边左边灯控制模块由VHDL程序ARCHITECTURE ART Of LCIBEGIN灯控制模块的工作框图CLK书来实现,下面是其IRLEDBBRRAKENIGHTLEDNVHDL弋码:LEDB=BRAKE o LLEDNBEGINIF CLKEVENT AND CLK = 1 THENIF(LR

9、=0THENIF(LP = 0THENLEDL=0。ELSELEDL=1。END IF。ELSELEDL =0。END IF。END IF。END PROCESSoEND ART o3.5右边灯控制模块右边灯控制模块的工作框图如图3.5所示RC右边灯控制模块由ARCHITECTURE-ART ORRRCBEGINCLK图3.5右边灯控制模块的 vrpdl程序来实现,下面是其中的一段LRLEDB!IS:LEDN工作框图VHDL代 码:NIGHTLEDB=BRAKELEDNBEGINIF CLKEVENT AND CLK = 1 THENIF(LR = 0THENIF(RP = 0THENLEDR =0。ELSELEDR = 1。END IF。ELSELEDR =0。END IF。END IF。END PROCESS。END ART。4. 系统仿真与调试4.1分频模块仿真及分析分频模块由VHDL程序实现后

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 活动策划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号