用VHDL语言实现的数码管显示程序(包含动态和静态)

上传人:ni****g 文档编号:469999834 上传时间:2023-11-16 格式:DOC 页数:2 大小:15.51KB
返回 下载 相关 举报
用VHDL语言实现的数码管显示程序(包含动态和静态)_第1页
第1页 / 共2页
用VHDL语言实现的数码管显示程序(包含动态和静态)_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《用VHDL语言实现的数码管显示程序(包含动态和静态)》由会员分享,可在线阅读,更多相关《用VHDL语言实现的数码管显示程序(包含动态和静态)(2页珍藏版)》请在金锄头文库上搜索。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shumaguan isport(clk:in std_logic; x:out std_logic_vector(7 downto 0); seg:out std_logic_vector(7 downto 0);end entity;architecture behave of shumaguan issignal clk1:std_logic;beginprocess(clk)variable count:integer range 0 to 49999999;begin if(clkevent and clk=1) then if(count=20000000) then -动态 -if(count=1000) then -静态 count:=0; clk1x=01111111;segx=10111111;segx=11011111;segx=11101111;segx=11110111;segx=11111011;segx=11111101;segx=11111110;segnull; end case; end process;end behave;

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号