基于FPGA的电梯控制器设计毕业论文(设计)

上传人:gg****m 文档编号:217459371 上传时间:2021-12-02 格式:DOC 页数:34 大小:1.16MB
返回 下载 相关 举报
基于FPGA的电梯控制器设计毕业论文(设计)_第1页
第1页 / 共34页
基于FPGA的电梯控制器设计毕业论文(设计)_第2页
第2页 / 共34页
基于FPGA的电梯控制器设计毕业论文(设计)_第3页
第3页 / 共34页
基于FPGA的电梯控制器设计毕业论文(设计)_第4页
第4页 / 共34页
基于FPGA的电梯控制器设计毕业论文(设计)_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《基于FPGA的电梯控制器设计毕业论文(设计)》由会员分享,可在线阅读,更多相关《基于FPGA的电梯控制器设计毕业论文(设计)(34页珍藏版)》请在金锄头文库上搜索。

1、远程与继续教育学院 本科毕业论文(设计)基于FPGA的电梯控制器设计学习中心:内蒙古学习中心学 号:090F27143002姓 名:朱晓峰专 业:机械设计制造及其自动化 指导教师:曹雪林2016年9月25円中国地质大学(武汉)远程与继续教育学院本科毕业论文(设计)指导教师指导意见表学生姓名:朱晓峰学号:090F27143002 专业:机械设计制造及其自动化毕业设计(论文)题目:基于FPGA的电梯控制器设计指导教师意见:(请对论文的学术水平做出简耍评述。包括选题意义;文献资料的掌握;所用资料、实验结果和计算数据的可靠性;写作规范和逻辑性;文献引用的规范性等。还须明确指出论文中存在的问题和不足之处

2、。)论文选题符合本专业方向,经多次修改内容及格式基本满足地大本科论文撰写要求。内容叙述条理较淸晰、层次分明、逻辑性较强。语言表达较为流畅。文献、图的引入恰当、准确。对文章阐述起到支撑作用。不足之处:该控制器与其他控制方式的优越性未在文中阐述且无案例说明缺乏其实用性与创新性不足。指导教师结论:仓搭指导教师姓名曹雪林所在单位呼和浩特职业学院指导时间2016.7. 1010.4中国地质大学(武汉)远程与继续教育学院本科毕业设计(论文)评阅教师评阅意见表学生姓名:朱晓峰 学号:090F27143002 专业:机械设计制造及其自动化毕业设计(论文)题目:基于FPGA的电梯控制器设计评阅意见:(请对论文的

3、学术水平做出简耍评述。包括选题意义;文献资料的掌握; 所用资料、实验结果和计算数据的可靠性;写作规范和逻辑性;文献引用的规范性等。 还须明确指出论文中存在的问题和不足之处。)论文选题符合专业培养要求,具有一定的实用价值。论文介绍了基于FPGA的电梯控制器设计, 并对设U的电梯控制器进行了仿真。论文参考资料详实,结构完整,论述逻辑结构合理,层次比 较清晰,语言通顺,格式基本规范,字数符合要求。总的来说,论文基本达到了本科毕业设计的 要求。修改意见:(针对上面提出的问题和不足之处提出異体修改意见。评阅成绩合格,并 可不用修改直接参加答辩的不必填此意见。)修改意见在文中批注,请按批注进行修改。毕业设

4、计(论文)评阅成绩(百分制):83评阅结论:同意答辩评阅人姓名李昌平所在单位中国地质大学(武汉)评阅时间2016-10-17论文原创性声明本人郑重声明:本人所呈交的本科毕业论文基于FPGA的电梯控制器设计,是本人在导师的指导下独立进行研宄工作所取得的成果。论文中引用他人的文献、资料均己明确注出,论文中的结论和结果为本人独立完成,不包含他人成果及使用过的材料。对论文的完成提供过帮助的有关人员己在文中说明并致以谢意。本人所呈交的本科毕业论文没有违反学术道德和学术规范,没有侵权行为,并愿意承担由此而产生的法律责任和法律后果。论文作者(签字):朱晓日期:2016年9月20日本设计采用的正式FPGA来控

5、制电梯的逻辑运行,具有编程灵活,性能可靠 等优点,而且FPGA在去电后配置数据自动消失,用户可以控制加载进程,在现 场修改器件的逻辑功能。在设计过程中我们首先把整个电梯设计根据功能分成若 干个功能模块,然后理清各个模块的时序,一以便将各个功能模块综合在一起, 能够公用书籍总线,使其能正常工作不受干扰,有些程序在仿真的吋候其吋序是 完全正确的,但是当程序下载到芯片上之后就会发现错误了。这主要是因为各个 功能在实现时会有延时,但这在仿真时是显示不出来的。因此编程吋要注意在选 芯片之前,要先将计算出的数据信号先放到数据总线上。EPGA在实现电梯控制方面比较灵活,可以通过对程序的修改来达到控制多 个楼

6、层,本设计在电梯控制方面做的比较全面,比如设计了内外电梯的指示系统 和当前电梯运行情况显示,以及超载报警等,能够满足一般的载客电梯的工作功 能需耍。关键词:1、电梯2、设计3、FPGA/CPLD 4、控制器、 -、冃IJ胃一、FPGA 概述2(一)FPGE的定义2(二)FPGE的特点2(三)FPGA工作原理3二、总体设计方案介绍4(一)利用FPGA/CPLD进行电梯控制器设计的一般流程.4(二)电梯控制器的系统设计方案1 4(三)电梯控制器的系统设计方案2 5三、单元模块设计7(一)电梯控制系统的设计7(二)电梯控制器系统组成及模块设计介绍8(三)模块设计程序硬件分析和软件分析9(四)顶层文件

7、原理图及其各部件仿真19四、系统功能23五、设计总结24翻寸25参考文献26前言现代社会,伴随着不断发展的城市建设,日趋增多的高层建筑,作为高层逑 筑中垂直运行的交通工具,电梯己经与人们的日常生活密不可分。目前电梯控制系统主要有三种控制方式:继电器控制系统(“早期安装的电 梯多位继电器控制系统”)、FPGA/CPLD的控制系统、微机控制系统。继电器控 制系统由于可靠性差、故障率高、控制方式不灵活以及消耗功率大等缺点,目前 己逐渐被淘汰。微机控制系统虽然在智能控制方面有较强的功能,但也存在一些 缺陷,例如:抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等。 FPGA/CPLD控制系统由于

8、具有设计和调试周期较短,抗干扰性强,运行可靠性高, 使用维修方便等优点,而倍受人们的重视,己经成为目前在电梯控制系统中使用 最多的控制方式,同时也广泛用于传统继电器控制系统的技术改造。一、FPGA概述(一)FPGE的定义FPGA (Field-Programmable Gate Array),即现场可编程门阵列,它是在 PAL、 GAL, CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路 (ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,乂克服了 原有可编程器件门电路数有限的缺点。以硬件描述语言(Verilog或VHDL)所完成的电路设计,可以经过简单的综

9、合与布局,快速的烧录至FPGA上进行测试,是现代1C设计验证的技术主流。 这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、 NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA 里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip flop)或者其他 更加完整的记忆块。系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起 来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻 辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。FPGA般来说比ASIC(专用集成电路)的速度要慢,实现同样的

10、功能比ASIC 电路面积要大。但是他们也有很多的优点比如可以快速成品,可以被修改来改正 程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的 FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的 FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是 用 CPLD (Complex Programmable Logic Device,复杂可编程逻辑器件)。(二)FPGE的特点1)采用FPGA设计ASIC电路(专用集成电路),用户不需要投片生产,就能 得到合用的芯片。2)FPGA可做其它全定制或半定制ASIC电路的中试样片。3)FPGA内部

11、有丰富的触发器和I/O引脚。4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之O5)FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需 耍对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,EPGA 进入工作状态。棹电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能 够反复使用。FPGA的编程无须专用的FPGA编程

12、器,只须用通用的EPROM、PROM 编程器即可。当需要修改FPGA功能时,只需换一片EPKOM即可。这样,同一片 FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵 活。(三) FPGA工作原理FPGA采用了逻辑单元阵列LCA (Logic Cell Array)这样一个概念,内部包 括可配置遷辑模抉CLB (Configurable Logic Block)、输入输出模抉10B (Input Output Block)和内部连线(Interconnect)三个部分。现场可编程门阵列(FPGA) 是可编程器件,与传统逻辑电路和门阵列(如PAL, GAL及CPLD器件

13、)相比,EPGA 具有不同的结构。FPGA利用小型查找表(16X1RAM)来实现组合逻辑,每个查 找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动1/0, 由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块, 这些模块间利用金属连线互相连接或连接到1/0模块。EPGA的逻辑是通过向内 部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单 元的逻辑功能以及各模块之间或模块与1/0间的联接方式,并最终决定了 FPGA 所能实现的功能,FPGA允许无限次的编程。二、总体设计方案介绍(一)利用FPGA/CPLD进行电梯控制器设计的一般流程 通常归纳为

14、以下7个步骤。第1步:设计输入。在传统设计中,设计人员是应该传统的原理图输入方法 来开始设计的。自90年代初,Verilog、VHDL、AHDL等硬件描述语言的输入方法 得到了广大工程设计人员的认可。第2步:前仿真。所设计的电路必须在布局线前验证,目的主要是在仿真时, 验证电路功能是否有效。在ASIC设计中,这一步骤称为第一次Sign-Off。第3步:设计输入编译。设计输入之后就有一个从高层次系统行为设计向低 层次门级逻辑电路的转化翻译过程,即把设计输入的某种或某儿种数据格式(网 表),以求达到与工艺无关。第4步:设计输入的优化。对于上述综合生成的网表,根据布尔方程功能等 效的原则,用更小更快

15、的综合结果替代一些复杂的单元,并与指定的库映射生成 新的网表,这是硬件描述语言输入方式中减小电路规模的一条必由之路。第5步:布局布线。当初步的仿真被验证后,就开始布局布线。这一布局布 线和对规划出ASIC和FPGA/CPLD的设计第6步:后仿真。设计人员需要利用在布局布线中获得的更精确的RC参数 再次验证电路的功能和时序。在ASIC设计中,这一步骤称为第二次Sign-off。第7步:流片。布局布线和后仿真完成之后,当需要大批量生产该芯片时, 就可以开始ASIC芯片的投产。(二)电梯控制器的系统设计方案1本次试验主要完成4层电梯控制器的设计,实现原理主要利用EDA层次设计 思想,运用QuarUisII软件的操作方法,首先完成三个模块的底层文件设计,此 三个模块分别是控制器,报警器,显示器(分别用VHDL语言程序作出后,并打 包成模块):利用原理图输入设计的方法将此三个模块做成整个控制器的设计。 原理框图如图2-1显示器4层电梯投制器图2-1模块原理图框图其原理图输入如下:fiiJTfUT4 uaTing图2-2原理图设计图(三)电梯控制器的系统设计方案2本次试验试验

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号