EDA技术及应用教程 教学课件 ppt 作者 赵全利 第7章 QuartusⅡ中的宏功能模块及应用

上传人:E**** 文档编号:89186635 上传时间:2019-05-20 格式:PPT 页数:12 大小:191.50KB
返回 下载 相关 举报
EDA技术及应用教程 教学课件 ppt 作者 赵全利 第7章 QuartusⅡ中的宏功能模块及应用_第1页
第1页 / 共12页
EDA技术及应用教程 教学课件 ppt 作者 赵全利 第7章 QuartusⅡ中的宏功能模块及应用_第2页
第2页 / 共12页
EDA技术及应用教程 教学课件 ppt 作者 赵全利 第7章 QuartusⅡ中的宏功能模块及应用_第3页
第3页 / 共12页
EDA技术及应用教程 教学课件 ppt 作者 赵全利 第7章 QuartusⅡ中的宏功能模块及应用_第4页
第4页 / 共12页
EDA技术及应用教程 教学课件 ppt 作者 赵全利 第7章 QuartusⅡ中的宏功能模块及应用_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《EDA技术及应用教程 教学课件 ppt 作者 赵全利 第7章 QuartusⅡ中的宏功能模块及应用》由会员分享,可在线阅读,更多相关《EDA技术及应用教程 教学课件 ppt 作者 赵全利 第7章 QuartusⅡ中的宏功能模块及应用(12页珍藏版)》请在金锄头文库上搜索。

1、第七章 Quartus中的宏功能模块及应用,第7章 Quartus中的宏功能模块及应用,Altera宏功能模块是复杂或更高级构建模块,可在Quartus设计文件中,与逻辑门或触发器等基本单元一起使用。 Quartus7.2开发软件提供的各种宏功能模块,如计数器、乘法器、存储器、寄存器、锁存器等。,1 宏功能模块与LPM函数 Altera提供的可参数化宏功能模块和LPM函数均为Altera器件结构做了优化使用宏功能模块能节省时间,不需要用户对逻辑进行编码,只需调用合适的宏功能模块即可; 使用宏功能模块方便快捷,通过设置参数便可方便地将宏功能模块伸缩为不同的大小。 使用宏功能模块能优化设计,可参数

2、化宏功能模块和LPM函数能更有效地对设计文件进行逻辑综合和器件实现。,Quartus7.2开发软件提供的宏功能模块存放在altera/72/quartus/libriies/megafunctions目录下。 主要有算术组件、门、IO组件及存储组件四大类。 宏功能模块和LPM函数如下: 1)算术组件arithmetic:包括累加器、加法器、乘法器和LPM算术函数。 2)门gates:包括多路复用器和LPM门函数。 3)IO组件:包括时钟数据恢复CDR、锁相环PLL、双数据速率DDR、千兆位收发模块GXB、LVDS接收器和发送器、PLL重新配置和远程更新宏功能模块。 4)存储组件storage:

3、包括FIFO Partitioner、RAM、ROM宏功能模块、存储器、移位寄存器宏功能模块和LPM存储器函数,2 知识产权IP核 IP核是指将一些在数字电路中常用但比较复杂的功能块,如FIR滤波器,SDRAM控制器,PCI接口等设计成可修改参数的模块,让其他用户可以直接调用这些模块。,3 宏功能模块定制管理器 1)宏功能模块定制管理器MegaWizard Plug-In Manager可以帮助用户建立或修改包含自定义宏功能模块变量的设计文件,而且可以在设计文件中对这些文件进行实例化。 2)可以为参数和可选端口设置数值。 3)宏功能模块定制管理器可以通过菜单命令 ToolsMegaWizard

4、 Plug-In Manager打开 用户可轻松地为自定义宏功能模块变量指定选项。,4 .应用实例 以一个简单的四位加法计数器为例,说明: MegaWizard Plug-In Manager的使用方法。 1)首先新建一个名为counter4的工程,在工程中新建一个名为counter4.bdf原理图文件。 2)双击原理图编辑窗口,在弹出的元件选择窗口的“Libraries”栏中选择“arithmetic”中的lpm_counter元件,如下图所示,OK。,lpm_counter元件选择窗口,3)弹出宏功能模块定制管理器对话框2: 对lpm_counter元件的各种参数进行选择,定制适合设计需要

5、的模块,NEXT。 4)弹出定制lpm_counter元件对话框3: 在“How wide should the q output bus be?”此处输入“4”位; 并选择“Up only”(为双边沿有效 ),NEXT。 5)弹出定制lpm_counter元件对话框4: 选择计数器的类型: Plain binary(二进制) Modulus(任意模值)”; 在“Do you want any optional additional ports?”栏中可以为定制的lpm_counter选择增加一些输入输出端口,如“Clock Enable(时钟使能)”、“Carry-in(进位输入)”、“Co

6、unt Enable(计数器使能)”和“Carry-out(进位输出)” 。 然后,next.,6)弹出定制lpm_counter元件对话框5。可为计数器添加同步或者异步输入控制端口,如“Clear(清除)”、“Load(加载)”和“Set(设置)”。如果不需要添加这些端口,直接单击“Next”按钮即可。 7)对话框中,给出了lpm_counter元件的仿真库的基本信息。单击“Next”按钮,进入定制lpm_counter元件参数设置最后一个页面,该对话框可以为计数器选择输出文件: 如 VHDL文本文件lpm_counter1.vhd、 VHDL元件声明文件lpm_counter1.cmp、 图形符号文件lpm_counter1.bsf等。 单击“Finish”按钮,结束lpm_counter元件的定制。,8)单击“Finish”按钮,则原理图编辑窗口中出现了刚才定制的计数器的图形,添加输入输出端口后,如下图所示。,参数化四位加法计数器原理图,第七章 结束,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号