课程设计--具有四种信号灯的交通灯控制

上传人:第*** 文档编号:55672143 上传时间:2018-10-04 格式:DOC 页数:26 大小:3.83MB
返回 下载 相关 举报
课程设计--具有四种信号灯的交通灯控制_第1页
第1页 / 共26页
课程设计--具有四种信号灯的交通灯控制_第2页
第2页 / 共26页
课程设计--具有四种信号灯的交通灯控制_第3页
第3页 / 共26页
课程设计--具有四种信号灯的交通灯控制_第4页
第4页 / 共26页
课程设计--具有四种信号灯的交通灯控制_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《课程设计--具有四种信号灯的交通灯控制》由会员分享,可在线阅读,更多相关《课程设计--具有四种信号灯的交通灯控制(26页珍藏版)》请在金锄头文库上搜索。

1、*大学大学EDA 技术课程设计报告设计题目:具有四种信号灯的交通灯控制器专业班级: 通信工程 学生姓名: * 学 号: * 指导教师: * 课程设计成绩: 完成日期:20*年*月*日目目 录录1 1 引言引言.2.21.1 设计背景21.2 VHDL 简介.21.3 QUARTUS简介.52 2 交通信号灯控制器的设计交通信号灯控制器的设计.5.52.1 设计目的62.2 系统计要求62.3 设计思路72.4 交通信号灯控制器系统工作流程73 3 交通灯控制器的实现交通灯控制器的实现.8.83.1 交通灯控制器的设计原型图83.2 系统各功能模块的实现93.2.1 模块shuomaguan93

2、.2.2 模块traffic.93.3 原理图94 4 交通信号灯控制器系统仿真及分析交通信号灯控制器系统仿真及分析10104.1 SHUMAGUAN 模块仿真波形图.104.2 顶层实体的仿真波形.104.3 TAFFIC 模块的仿真波形图.114.4 管脚锁定.115 5 完成调试后所显示结果的八种情况完成调试后所显示结果的八种情况12126 6 结论结论15157 7 总结与体会总结与体会1515附附 录录.17.171 SHUMAGUAN 的 VHDL 程序.172 TRAFFIC 的 VHDL 程序.18参考文献参考文献222211 1 引言引言1.11.1 设计背景设计背景随着城市

3、机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自 80 年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。因此,开发一套能够社会服务的交通灯控制器将是非常必要的,也是十分及时的。1.21.2 VHDLVHDL 简介简介语言硬件描述已经有几十年的发展历史,并且在系统的仿真、验和设计、综合等方面得到成功的应用。目前常用

4、的硬件描述语言有VHDL、 Verilog HDL 、ABEL 等。VHDL 则起源于 20 世纪 70 年代末和 80 年代初,美国国防部提出的 VHSIC 计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成 10 万门级以上的电路设计而建立一种新的描述方法。VHDL 的英文全称为 Very-High-Speed Integrated Circuit Hardware Description Language,是 IEEE 标准化的硬件描述语言,并且经成为系统描述的国际公认标准,得到众多 EDA 公司的支持。2成为系统描述的国际公认标准,得到众多 EDA 公司的支持。IEEE-1

5、076(简称 87 版)之后,各 EDA 公司相继推出自的 VHDL设计环境,或宣布自己的设计工具可以和 VHDL 接口。1993 年,IEEE对 VHDL 进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL 的内容,公布了新版本的 VHDL,即 IEEE 标准的 1076-1993 版本,简称 93 版。VHDL 和 Verilog 作为 IEEE 的工业标准硬件描述语言,得到众多 EDA 公司支持,在电子工程领域,已成为事实上的通用硬件描述语言。VHDL 具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下:(1)功能强大和

6、设计灵活。一个简洁的使用 VHDL 语言编写的程序就可以描述一个复杂的逻辑电路,因为 VHDL 拥有强大的语言结构。VHDL 多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。(2)与具体器件无关。用 VHDL 设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。(3)很强的移植能力。VHDL 由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台

7、等工具中使用。3(4)强大的硬件描述能力。VHDL 可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL 可以准确地建立硬件电路模型,因为它支持惯性延迟和传输延迟。VHDL 的数据类型很丰富,支持标准定义的数据类型,当标准定义的数据类型不能满足用户的需求时,用户可以自己定义的所需要的数据类型,增加了设计的自由度。(5)语法规范,易于共享。当把用 VHDL 编写的代码文件看作是程序时,它可以作为设计人员之间的交流内容;当把它看作是文档时,可以作为签约双方的合同文本。VHDL 易于共享的特点,使得大规模的协作开发容易实

8、现。同时,这些特点也促进了 VHDL 的发展和完善。综上所述,VHDL 有很多其他的硬件描述语言所不具备的优点。但是,VHDL 仍然存在一些缺点,主要是 3 个方面。(1)要求设计者对硬件电路知识甚至是芯片结构方面的知识了解较多。应该摆脱一般的高级语言程序设计思路,因为在电路世界里的事件很多是并行发生的,并且硬件电路系统内部的模块可以是互相独立的,也可以是互为因果的,所以,在用 VHDL 设计硬件电路时应摆脱一般的高级语言程序设计思路。在设计电路时,应先构思电路,然后才能描述。(2)不能进行太抽象的系统描述。因为 EDA 工具无法综合抽象性太强的系统,故用 VHDL 描述系统电路时不能太抽象。

9、目前的 VHDL 很难综合实际的硬件电路,只能适用于系统建模。4(3)不能描述模拟电路。对于模拟电路而言,VHDL 并不是一种理想的硬件描述语言。但可以预见,未来硬件描述语言的发展方向是模拟电路和数模混合电路的描述方式。1.3 Quartus简介简介Quartus II 是 Altera 公司的综合性 PLD/FPGA 开发软件,支持原理图、VHDL、VerilogHDL 以及 AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整 PLD 设计流程。Quartus II 可以在 X

10、P、Linux 以及 Unix 上使用,除了可以使用 Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II 支持 Altera 的 IP 核,包含了 LPM/MegaFunction 宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方 EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方 EDA 工具。此外,Quartus II 通过和 DSP Builder 工具与 Matlab 相结合,可以方便地实现各种 DSP 应用系统;支持 Altera 的片上可编程

11、系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。 2 2 交通信号灯控制器的设计交通信号灯控制器的设计52.12.1 设计目的设计目的采用 VHDL 语言对交通灯控制器的设计,使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的通过状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。绿灯亮时,准许车辆通行,但转弯的车辆不得妨碍被放行的直行车辆、行人通行,黄灯亮时,已越过停止线的车辆可以继续通行,红灯亮时,禁止车辆通行。2.2 系统计要求系统计要求系统设要求为:由一条主干道和一条支干道汇合十字路口,在每个入口处设

12、置红,绿,黄,拐允许四盏信号灯,红灯亮禁止通行,黄灯亮则给行驶中的车辆有时间停在禁行线外,左拐灯亮允许车辆向左拐外。信号灯变换次序为:主干道每次放行 40 秒,亮 5 秒红灯让行驶中的车辆有时间停到禁行线外,左拐放行 15 秒,亮 5 秒红灯;支干道放行 30 秒,亮 5 秒黄灯,左拐放行 15 秒,亮 5 秒红灯,各计时电路位倒计时显示。系统框图如下:6图 2-1 设计思路示意图2.3 设计思路设计思路根据系统的功能要求,可分为四个部分来实现,分别是定时模块,主控电路,译码驱动电路和扫描显示几部分。分频部分是把外部提供的 1Hz 进行分频得到系统工作需要的工作脉冲,显示部分包括两个内容,一个

13、是主干道红绿灯显示,另一个是支干道红绿灯显示。然后将红绿灯显示时间以 BCD 码形式显示出来,显示模块将其译码轮流扫描显示2.4 交通信号灯控制器系统工作流程交通信号灯控制器系统工作流程(1)主干道放行亮绿灯 40 秒,支干道红灯显示 65 秒;(2)主干道绿灯转黄灯 5 秒,支干道红灯显示 25 秒;(3)主干道黄灯转左拐 15 秒,支干道红灯显示 20 秒;(4)主干道左拐转红灯 55 秒,支干道绿灯显示 30 秒;7(5)主干道红灯显示 25 秒,支干道绿灯转黄灯 5 秒;(6)主干道红灯显示 20 秒,支干道黄灯转左拐 15 秒;(7)主干道亮红灯 5 秒,支干道左拐转黄灯 5 秒;(

14、8)依次循环跳到主干道,红绿灯重新计时。3 3 交通灯控制器的实现交通灯控制器的实现3.13.1 交通灯控制器的设计原型图交通灯控制器的设计原型图图 3-1 具有四种信号灯的交通信号灯硬件系统示意图原理图中输入部分分别是 clk:系统时钟信号;LA:主干道红绿灯显示;LB:支干道红绿灯显示;输出部分为七段译码显示和位选码MS,数码管显示的是交通信号灯转换时间。8 83.23.2 系统各功能模块的实现系统各功能模块的实现3.2.13.2.1 模块模块 shuomaguanshuomaguan图 3-2 1shuomaguan 模块shumaguan 的设计难点在于分析输入条件的不同,会有什么样的

15、输出结果。这就要理解 shumaguan 模块的作用就是控制交通灯时间显示的转换的工作。其模块所用程序见附录 shuomaguan 模块的 VHDL 编程3.2.23.2.2 模块模块 traffictraffic图 3-3 模块 traffictraffic 模块的作用是控制交通信号灯的四种灯之间的转换工作,其所用的程序见附录 traffic 模块的 VHDL 编程。3.33.3 原理图原理图9 9图 3-4 交通信号灯原理图4 4 交通信号灯控制器系统仿真及分析交通信号灯控制器系统仿真及分析4.14.1 shumaguanshumaguan 模块仿真波形图模块仿真波形图图 4-1 shum

16、aguan 模块仿真波形图分析:由图中看出,当使能位 EN 为低电平的时候,两路数码管维持初始值不变,当使能位为高电平的时候,两个数码管在每个时钟内,减一,与题目要求相符。4.24.2 顶层实体的仿真波形顶层实体的仿真波形图 4-2 顶层实体的仿真波形10分析:从图中很容易看出,交通灯只在状态发生变换的时候发生变换,而且在一整个状态内不发生变化。并且可以看出,在状态 0 的时候,主干道绿灯亮,支干道红灯亮。在状态 1 的时候,主干道黄灯亮,支干道红灯亮,在状态 2 的时候,主干道的左拐灯亮,支干道的红灯亮。4.34.3 taffictaffic 模块的仿真波形图模块的仿真波形图图 4-3 traffic 模块的仿真波形分析:从波形图内很容易看出,状态机的变换,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号