(毕业论文)-基于FPGA技术的微波炉控制器

上传人:zhuma****mei1 文档编号:54238537 上传时间:2018-09-10 格式:DOC 页数:20 大小:2.62MB
返回 下载 相关 举报
(毕业论文)-基于FPGA技术的微波炉控制器_第1页
第1页 / 共20页
(毕业论文)-基于FPGA技术的微波炉控制器_第2页
第2页 / 共20页
(毕业论文)-基于FPGA技术的微波炉控制器_第3页
第3页 / 共20页
(毕业论文)-基于FPGA技术的微波炉控制器_第4页
第4页 / 共20页
(毕业论文)-基于FPGA技术的微波炉控制器_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《(毕业论文)-基于FPGA技术的微波炉控制器》由会员分享,可在线阅读,更多相关《(毕业论文)-基于FPGA技术的微波炉控制器(20页珍藏版)》请在金锄头文库上搜索。

1、基于 FPGA 技术的微波炉控制器*大学大学*学院学院现代电子系统设计现代电子系统设计*系(院)系(院)*专业专业题目:题目:基于基于 FPGAFPGA 技术的微波炉控制器技术的微波炉控制器学生姓名:学生姓名: 班级:班级: 学号:学号: 指导教师:指导教师: 完成日期:完成日期: 年年 月月基于 FPGA 技术的微波炉控制器2摘摘 要要本文介绍了应用 FPGA 芯片和硬件描述语言(VHDL)设计微波炉控制器系统的方法。系统使用 VHDL 编程实现各底层模块的功能,顶层的设计采用图形输入完成。本文主要阐述模块化设计的思想和状态图的描述方法,以及它们在硬件描述语言中的应用,并展示了其在 Quar

2、tus开发系统下的仿真结果和烧写到 EPM570T100C5 后的现象。主要有以下几个模块:状态控制器 KZQ、数据装载器 ZZQ、烹调计时器JSQ、显示译码器 YMQ47 以及分频器和动态显示电路。该控制器具有系统复位、状态控制、时间设定、烹饪计时、动态显示译码等功能。关键字关键字:FPGA;VHDL;微波炉;控制器;状态图;定时器基于 FPGA 技术的微波炉控制器3目目 录录1.绪论11.1 任务的提出11.2 设计的基本要求11.3 设计的目的和意义.12.系统总体设计22.1 系统总体方案设计.22.2 系统功能模块描述(具体的电路图和 VHDL 设计文件将在附件中给出)23.系统详细

3、设计23.1 状态控制器 KZQ 的设计.23.2 数据装载器 ZZQ 的设计.53.3 烹调计时器 JSQ 的设计.53.4 显示译码器 YMQ47 的设计.54 系统仿真 64.1 状态转换控制器 KZQ 仿真图64.2 数据装载器 ZZQ 仿真图.64.3 计时器 JSQ 仿真74.4 显示译码器 YMQ47 仿真图85.设计总结8 6.参考文献8 7.附件97.1 整体的原理图97.2 各个基本模块的 VHDL 语言.972.1 分频器 9 7.2.2 控制器 KZQ.10 7.2.3 装载器 ZZQ.11 7.2.4 计时器 JSQ,和其中需用到的 DCNT6 和 DCNT10 12

4、 7.2.5 译码器 YMQ47.15 7.2.6 动态显示电路需要用到的 DCNT4 和 decoder.15基于 FPGA 技术的微波炉控制器01.1.绪论绪论随着人民生活水平的提高,微波炉开始进入越来越多的家庭,它给人们的生活带来了极大的方便。它省事、省电、方便和卫生。作为现代的烹饪工具,微波炉控制器体现着它的重要性能指标。目前大部分微波炉控制器采用单片机进行设计,电路比较复杂,性能不够灵活。本文采用先进的 EDA 技术,利用Quartus工作平台和 VHDL 设计语言,设计了一种新型的微波炉控制器系统。该控制器具有系统复位、状态控制、时间设定、烹饪计时、动态显示译码等功能。1.11.1

5、 任务的提出任务的提出设计一个微波炉控制器1.21.2 设计的基本要求设计的基本要求(1)七段数码管及发光二极管完成微波炉的定时及状态显示;(2)控制器的输入信号包括定时控制信号、定时数据的输入、复位信号、开始煮饭的控制信号等;(3)其他(我们自己添加了测试数码管是否能够正常显示的 TEST 信号) 。1.31.3 设计的目的和意义设计的目的和意义目前大部分微波炉控制器采用单片机进行设计,电路比较复杂,性能不够灵活。本文采用先进的 EDA 技术,利用 Quartus工作平台和 VHDL 设计语言,设计了一种新型的微波炉控制器系统。该控制器具有系统复位、状态控制、时间设定、烹饪计时、动态显示译码

6、等功能。基于 FPGA 技术的微波炉控制器12.2.系统总体设计系统总体设计2.12.1 系统总体方案设计系统总体方案设计根据该微波炉控制器的功能设计要求,本系统可由以下 6 个模块组成:1.分频器; 2.状态控制器 KZQ;3. 数据装载器 ZZQ;4. 烹调计时器 JSQ;5. 显示译码器 YMQ47;6.动态显示电路。其内部组成原理图如图 1 所示。图 12.22.2 系统功能模块描述(具体的电路图和系统功能模块描述(具体的电路图和 VHDLVHDL 设计文件将在附件中设计文件将在附件中给出)给出)各个模块的功能介绍(1)分频器 fenpinqi 模块的功能是实现对实验箱上的 50Mhz

7、 的分频,是整个系统能够正常显示的基础。基于 FPGA 技术的微波炉控制器2(2) 状态控制器 KZQ 的功能是控制微波炉工作过程中的状态转换,并发出有关控制信息;输入信号为 CLK、TEST、START、SET_T、RESET 和 DONE,输出信号为 LD_DONE、LD_CLK、LD_8888 和 COOK 信号。 (3) 数据装载器 ZZQ 的功能是根据 KZQ 发出的控制信号选择定时时间、测试数 据或烹调完成信息的装入。(4) 计时器 JSQ 的功能是负责烹调过程中的时间递减计数,并提供烹调完成时的状态信号供 KZQ 产生烹调完成信号。(5) 显示译码器 YMQ47 的功能就是负责将

8、各种显示信息的 BCD 转换成七段数码 管显示的驱动信息编码。需要译码的信息有:数字 09,字母 d、o、n、E。(6)动态显示电路的功能是将显示译码器 YMQ47 驱动信息编码在数码管有限的条 件下显示出来。基于 FPGA 技术的微波炉控制器33.3.系统详细设计系统详细设计3.13.1 状态控制器状态控制器 KZQKZQ 的设计的设计状态控制器 KZQ 的功能是控制微波炉工作过程中的状态转换,并发出有关控制信息,因此我们可用一个状态机来实现它。经过对微波炉工作过程中的状态转换条件及输出信号进行分析,我们可得到其状态转换图如图 2 所示,其输入、输出端口如图 3 所示。图 2 KZQ 的状态

9、转换图SET_CLOCK / DONE / LD_DONELD_DONE / COOKOTHERWISE / ALL OUTPUTS=0START use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity fenpinqi isport(nreset:in std_logic;clk:in std_logic;co:out std_logic;qcnt:buffer std_logic_vector(19 downto 0)基于 FPGA 技术的微波炉控制器9);end fenpinqi;architecture b

10、ehave of fenpinqi isbeginprocess(clk,nreset)beginif(nreset=0)thenqcnt LD_8888 LD_CLK LD_DONEIF(TEST=1) THEN NXT_STATE IF DONE=1 THENNXT_STATE DATA2 DATA2 DATA2 NULL;END CASE;END PROCESS; END ARCHITECTURE BHV; 7.2.47.2.4 计时器计时器 JSQJSQ,和其中需用到的,和其中需用到的 DCNT6DCNT6 和和 DCNT10DCNT10-JSQ.VHD LIBRARY IEEE; U

11、SE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY JSQ ISPORT(COOK:IN STD_LOGIC;DATA3:IN STD_LOGIC_VECTOR(15 DOWNTO 0);LOAD:IN STD_LOGIC;CLK:IN STD_LOGIC;SEC_L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);SEC_H:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);MIN_L:OUT STD_LOGIC_V

12、ECTOR(3 DOWNTO 0);MIN_H:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);DONE:OUT STD_LOGIC); END ENTITY JSQ;ARCHITECTURE ART OF JSQ ISCOMPONENT DCNT10 ISPORT(CLK,LOAD,ENA:IN STD_LOGIC;DATAIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);基于 FPGA 技术的微波炉控制器12CARRY_OUT:OUT STD_LOGIC);END COMPONEN

13、T DCNT10;COMPONENT DCNT6 ISPORT(CLK,LOAD,ENA:IN STD_LOGIC;DATAIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);CARRY_OUT:OUT STD_LOGIC); END COMPONENT DCNT6;SIGNAL NEWCLK:STD_LOGIC;SIGNAL S1:STD_LOGIC;SIGNAL S2:STD_LOGIC;SIGNAL S3:STD_LOGIC;SIGNAL S4:STD_LOGIC; BEGINU1:DCNT10 P

14、ORT MAP(CLK,LOAD,COOK,DATA3(3 DOWNTO 0),SEC_L,S1);U2:DCNT6 PORT MAP(S1,LOAD,COOK,DATA3(7 DOWNTO 4),SEC_H,S2);U3:DCNT10 PORT MAP(S2,LOAD,COOK,DATA3(11 DOWNTO 8),MIN_L,S3);U4:DCNT6 PORT MAP(S3,LOAD,COOK,DATA3(15 DOWNTO 12),MIN_H,S4);DONEDOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7segsegsegsegseg=“0000000“;scan=“0111“;end case;end process; end bhv;

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号