低功耗CMOS IC设计-陈中建——第13讲LP设计方法学设计流程EDA工具

上传人:飞*** 文档编号:48597076 上传时间:2018-07-17 格式:PPT 页数:82 大小:4.82MB
返回 下载 相关 举报
低功耗CMOS IC设计-陈中建——第13讲LP设计方法学设计流程EDA工具_第1页
第1页 / 共82页
低功耗CMOS IC设计-陈中建——第13讲LP设计方法学设计流程EDA工具_第2页
第2页 / 共82页
低功耗CMOS IC设计-陈中建——第13讲LP设计方法学设计流程EDA工具_第3页
第3页 / 共82页
低功耗CMOS IC设计-陈中建——第13讲LP设计方法学设计流程EDA工具_第4页
第4页 / 共82页
低功耗CMOS IC设计-陈中建——第13讲LP设计方法学设计流程EDA工具_第5页
第5页 / 共82页
点击查看更多>>
资源描述

《低功耗CMOS IC设计-陈中建——第13讲LP设计方法学设计流程EDA工具》由会员分享,可在线阅读,更多相关《低功耗CMOS IC设计-陈中建——第13讲LP设计方法学设计流程EDA工具(82页珍藏版)》请在金锄头文库上搜索。

1、1低功耗CMOS IC设计第13讲 LP设计方法学陈中建 62759620,理科2号楼2617微电子学系北京大学微电子学系陈中建LP CMOS IC Design 2授课内容一览LP需求、必要性便携和电池,散热和封装制冷成本,器件极限和 可靠性、性能极限,环保功耗源电路级LP技术工艺级LP技术逻辑(门)级LP技术RTL级LP技术算法级LP技术体系结构级LP技术系统级LP技术EDA技术动态、泄漏、短路、静态封装、低VDD、多VDD、多VT 、版图级逻辑风格降低gltich、信号同步、门控时钟并行、流水线、预计算减运算,运算替换,编码LP设计方法学、设计流程、库、EDA厂家 工具介绍异步电路,当

2、代SOC的LP设计设计功耗度量跳变能耗、峰值功耗、平均功耗、功耗延迟积模拟实现还是数字实现?模拟LP设计影响因素,数/模选择原则低摆幅,电荷循环利用北京大学微电子学系陈中建LP CMOS IC Design 3上一讲q “当代” v 目前,比“现代”还新 q SOC必须LP设计 v SOC特点 q SOC LP设计的难点 q SOC LP设计实现 v 系统级 v 算法级、结构级 v RTL级 v 门级 v 工艺和器件级 泄漏功耗 动态功耗 v EDA工具如何协助进行SOC的LP设计 q 考虑SOC测试的LP设计 q 总结北京大学微电子学系陈中建LP CMOS IC Design 4本讲q 设计

3、方法学 v 设计流程 v 设计工具 v 业内分工 IP和库开发、EDA工具开发、SOC设计、foundry q 功耗建模、分析和优化 q LP设计流程 q LP设计对EDA工具的要求 q 单元模型的革新 q 各公司LP EDA工具简介 v Synopsys v Magma v Cadence v Mentor北京大学微电子学系陈中建LP CMOS IC Design 5功耗会影响到的主要方面北京大学微电子学系陈中建LP CMOS IC Design 6功耗建模、功耗分析、功耗优化q功耗建模 v为器件、单元库、电路模块建立功耗模型 抽象层次越低,功耗模型越准 v用于功耗分析和优化 q功耗分析 v

4、根据电路功耗模型来估算电路的功耗 v功耗估算方法 统计估算、概率估算、基于仿真的估算 v抽象层级越高,功耗模型越不准,但功耗分析时间 越短 q功耗优化 v针对时序、面积、功耗、可靠性等设计约束,在满 足其他约束前提下,实现最低功耗设计北京大学微电子学系陈中建LP CMOS IC Design 7本讲q 设计方法学包括的内容 v 设计流程 v 设计工具 v 业内分工 IP和库开发、EDA工具开发、SOC设计、foundry q 功耗建模、分析和优化 q LP设计流程 q LP设计对EDA工具的要求 q 单元模型的革新 q 各公司LP EDA工具简介 v Synopsys v Magma v Ca

5、dence v Mentor北京大学微电子学系陈中建LP CMOS IC Design 8设计流程的变迁北京大学微电子学系陈中建LP CMOS IC Design 9SOC LP设计流程的基本思想北京大学微电子学系陈中建LP CMOS IC Design 10LP设计流程Synopsys北京大学微电子学系陈中建LP CMOS IC Design 11RTL-GDSII的LP设计流程北京大学微电子学系陈中建LP CMOS IC Design 12RTL-GDSII的LP设计流程-Cadence北京大学微电子学系陈中建LP CMOS IC Design 13本讲q 设计方法学包括的内容 v 设计流

6、程 v 设计工具 v 业内分工 IP和库开发、EDA工具开发、SOC设计、foundry q 功耗建模、分析和优化 q LP设计流程 q LP设计对EDA工具的要求 q 单元模型的革新 q 各公司LP EDA工具简介 v Synopsys v Magma v Cadence v Mentor北京大学微电子学系陈中建LP CMOS IC Design 14对EDA的要求q 支持功耗建模 v SPM、ECSM等 v 库单元、IP q 支持功耗分析 v 精度要够 模型精度和工具计算精度 v 支持早期功耗分析,及早发现功耗、可靠性问题,及早解决 q 支持功耗优化 v 支持功耗、面积、速度、可靠性等同时

7、优化 v 支持多阈值、变阈值、多电压、变电压、门控时钟等技术 v 支持可测性 q 支持功耗/电源完整性验证 v 电源网络的综合、优化,解决电源(功耗)完整性问题 v 解决IR压降和电迁徙问题,保证建立和保持时间满足设计要求 q 高速度分析、优化、验证,缩短设计周期 q 平台化,相关的各子工具之间无缝接口 v 统一数据库、数据模型等,不需要数据转换、数据传输等费时费力 v 非常重要,用不统一的工具组作设计会潜藏着设计缺陷,极端费力北京大学微电子学系陈中建LP CMOS IC Design 15对EDA的要求q 当代SOC中的动态压降问题比以往严重 v 特征尺寸减少,线宽变窄,电源线/地线的电阻率

8、高,IR严重,必须进行 电源完整性检查;若采用过宽的电源布线,则浪费面积 v 对于90nm及以下工艺,它们对时序(建立和保持时间等)有重要影响, 占延迟敏感度的10-15。仅仅对电源网络进行静态分析不够,还必须进行 动态分析 静态时,IR降稳定,各cell电源电压与电源PAD电压有差异 动态时,电源线和地线上有瞬态大电流,IR降很大,各cell电源电压与电源 PAD电压差距很大,延迟变化很大,时序出错;噪声容限下降北京大学微电子学系陈中建LP CMOS IC Design 16对EDA的要求q当代SOC中的电迁移问题比以往严重v特征尺寸小,电流密度大 v电子风导致金属离子迁移,“上游”金属线产

9、 生空洞,“下游”堆积,日积月累会出现金属 线“开路”或相邻金属线“短路” v电源线/地线的电阻率变大,IR降加大,单 元的实际VDD降低,实际的GND增大,导 致单元延迟加大,噪声容限降低,出现功能 和时序错误北京大学微电子学系陈中建LP CMOS IC Design 17EDA还需解决功耗不均匀引起的温度效应q 功耗不均匀带来的问题片上温度不均匀 v SOC采用90nm以下工艺制造,高性能、高集成、高密度、高频率,功 耗很大,局部功耗密度不同,片上温度不均匀 数字IC的片上温差可达50度,金属层的温差更大 q 片上温度问题 v 片上温度是功耗分布、材料、材料尺寸、封装、环境温度等的函数 从

10、功耗密度和功耗分布很难推出温度分布图;实际的温度分布图发现, 最高温区域未必是功率密度或功耗最高的地方 v 以前是二阶效应,可以用简单的corner解决 假设片上温度相同来分析电特性;在若干不同温度下(corner)仿真, 通过即可 v 现在是一阶效应,分析起来比较困难 q 必须考虑热和温度梯度及对电路电性能的影响 v 需要研究考虑温度影响的新的设计方法,以使芯片功能正确 并提高一次投片成功率 q 温度不均匀会影响功耗估算、信号时序、时钟偏斜、串扰噪声、 压降、寿命等北京大学微电子学系陈中建LP CMOS IC Design 18一个实际芯片的温度分布图q 考虑了压金丝的制冷效应(它把热从衬底

11、导到封装上),压焊线 连接到TQFP封装的Cu引线框上北京大学微电子学系陈中建LP CMOS IC Design 19温度对泄漏功耗的影响q影响泄漏电流的估算 v最新工艺的亚阈值泄漏随温度指数增大,采 用“相同温度”模型分析泄漏功耗会带来指数 性偏差 v若实际工作时芯片各处温度估算不准,会使 得设计师不得不留出足够性能余量 v泄漏电流随温度上升而指数增大,又随 VDD下降而下降,因此,要准确估算泄漏功 耗需要计算局部温度和电压降北京大学微电子学系陈中建LP CMOS IC Design 20温度对泄漏功耗的影响北京大学微电子学系陈中建LP CMOS IC Design 21温度对IR降的影响

12、q 电压降 v 金属电阻率随温度变化,泄漏电流随温度变化,从而影响电 源电压,导致时序偏差 v 下图,延迟偏差是温度和IR降的函数北京大学微电子学系陈中建LP CMOS IC Design 22温度对串扰、电迁徙的影响q信号串扰 v因为温度影响了时序、温度导致阈值电压下 降(降低噪声容限)、温度会导致器件驱动 能力的下降 q温度使电迁移更糟 v金属在大电流密度时迁移,导致空洞、断路 ,或金属线间短路 v不考虑温度偏差,会使电迁移估计不准北京大学微电子学系陈中建LP CMOS IC Design 23考虑温度的设计流程北京大学微电子学系陈中建LP CMOS IC Design 24考虑温度的时序

13、分析 q thermal analysis产生温度数据。根据对各导电层的功耗源的估算 以及版图数据,计算芯片上各处的温度(是每一处功耗的函数) ,计算时需要知道每个instance的位置和尺寸q 功耗源的边界条件、内部介质层和封装的边界条件用来计算各处 温度北京大学微电子学系陈中建LP CMOS IC Design 25考虑温度后的时序和功耗分析q考虑温度后分析时序、功耗 v得到的温度数据用来计算压降、泄漏电流、刷新互 连线上的功耗和焦耳热以计算互连线上电阻率的改 变 v每个器件被反标上温度 v基于上述数据,计算信号的上升/下降延迟、有效连 线电容、信号延迟的变化,结果送到静态时序分析 工具

14、v同时利用信号的上升/下降延迟、有效连线电容、信 号延迟的变化的数据计算短路功耗、泄漏功耗等 q该流程已用于90nm工艺设计,更新工艺需要 该流程 q考虑温度效应后,分析、优化设计以满足要求 ,被称为“Thermal Integrity” v信号完整性,电源电压完整性(IR降,电迁徙) 北京大学微电子学系陈中建LP CMOS IC Design 26本讲q 设计方法学包括的内容 v 设计流程 v 设计工具 v 业内分工 IP和库开发、EDA工具开发、SOC设计、foundry q 功耗建模、分析和优化 q LP设计流程 q LP设计对EDA工具的要求 q 单元模型的革新 q 各公司LP EDA

15、工具简介 v Synopsys v Magma v Cadence v Mentor北京大学微电子学系陈中建LP CMOS IC Design 27采用新的单元模型qLP设计需要新模型v电源和衬底偏置电压随时间变化,必须给予 特征化,在模型中体现出来q以往的模型 v基于几个特征点,用k因子计算出其他特征 点的值 v这种模型现在已不能提供足够精度 v在LP设计中必须采用更先进建模技术北京大学微电子学系陈中建LP CMOS IC Design 28SPM模型qScaleable Polynomial Models vSynopsys v它是基于方程的模型,EDA工具能根据方程得到每 个单元精确的延

16、迟和功耗数据 v电源电压和衬底偏置电压是方程中的变量 q库单元的时间信息用SPDM(Scaleable Polynomial Delay Models)表征;功耗信息用 SPPM(Scaleable Polynomial Power Models) 表征;泄漏用SPLM( Scaleable Polynomial Leakage Models )表征v最大的好处是精度高北京大学微电子学系陈中建LP CMOS IC Design 29SPM模型q基于SPM但单元库,EDA工具 能基于功耗、面积和时序进行 优化,能进行功耗分析并将信 息反标(back-annotate)到电 路实现中 q这种设计流程的主要变化是需 要把电源线当变量对待 v以前单元之间只需给出输入和输 出连接即可,各单元的Vdd和Vss 接固定节点北京大学微电子学系陈中建LP CMOS IC De

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号