红绿灯交通信号系统设计

上传人:Bod****ee 文档编号:47504442 上传时间:2018-07-02 格式:DOC 页数:18 大小:739.03KB
返回 下载 相关 举报
红绿灯交通信号系统设计_第1页
第1页 / 共18页
红绿灯交通信号系统设计_第2页
第2页 / 共18页
红绿灯交通信号系统设计_第3页
第3页 / 共18页
红绿灯交通信号系统设计_第4页
第4页 / 共18页
红绿灯交通信号系统设计_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《红绿灯交通信号系统设计》由会员分享,可在线阅读,更多相关《红绿灯交通信号系统设计(18页珍藏版)》请在金锄头文库上搜索。

1、目目 录录摘要1第一章VHDL 的基本概念 21.1VHDL 语言的产生和发展21.2 VHDL 语言的特点21.3 VHDL 语言与模拟31.4 编译型模拟方法及 VHDL 与 C+的类比31.5 VHDL 在电子系统硬件设计中的优点4第二章 红绿灯交通信号系统52.1 红绿灯交通信号系统功能概述 52.2 红绿灯交通信号系统外观 52.3 红绿灯交通信号系统的 VHDL 模块62.4 红绿灯交通信号系统 VHDL 程序62.4.1 clk_gen 时钟发生电路(即分频电路)的 VHDL 设计62.4.2 traffic_mnx 计数秒数选择电路的 VHDL 程序设计82.4.3 count

2、_down 倒计时控制电路的 VHDL 程序设计 102.4.4 traffic_fsm 红绿灯信号控制电路的 VHDL 程序设计122.5 建造一个属于自己的程序包(package)132.5.1 traffic 红绿灯信号系统电路的 VHDL 程序设计15第三章 结论16参考文献172005 届电子信息工程专业毕业设计(论文)1VHDLVHDL 红绿灯交通信号系统红绿灯交通信号系统廖凯重庆三峡学院电子信息工程专业 2001 级 重庆万州 404000摘要摘要:近年来随着科技的飞速发展,VHDL 的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。传统交通灯控制器多数由单片机或 PL

3、C 实现。本论文介绍一种用 VHDL 实现红绿灯交通信号系统的设计方法。关键字:关键字:VHDL MAXPLUS 交通信号灯 国际化引言: 在现代城市中,随着人口和汽车的急剧增长,市区交通日益拥挤,要是没有红绿灯作为指挥 工具,恐怕川流不息的汽车就会由于混乱而造成严重阻塞。因此,交通灯是交管部门管理城市交 通的重要工具之一。 随着电子与计算机技术的迅速发展,电子电路的分析与设计方法发生了重大的变化。电子设 计自动化技术已成为设计现代电子系统必不可少的工具和手段。在电子技术领域里,为了便于储 存,分析和传输,常将模拟信号编码,即把它转换为数字信号,利用数字逻辑这一强有力的工具 来分析和设计复杂的

4、数字电路或数字系统,为信号的储存,分析和传输创造了硬件环境。根据这 次的设计要求,设计交通灯,我们以传统电子设计方法为基础的工程设计基础上,引入了电子设 计自动化技术,模拟与数字、硬件与软件相结合的综合性设计,通过 VHDL 数字控制系统,设计了 可控交通信号灯。可编程器件的广泛应用,为数字系统的设计带来了极大的灵活性。由于可编程 器件可以通过软件编程对硬件的结构和工作方式进行重构,使得硬件的设计可以如同软件设计那 样快捷方便。 通常使用硬件描述语言(Hardware DescriPtion Language,HDL)进行数字电子系统设计。 目前应用广泛的硬件描述语言有:VHDL 语言, Ve

5、rilog HDL 语言,AHDL 语言。VHDL 语言由 于具有强大的行为描述能力和丰富的仿真语句从而成为系统设计领域最佳的硬件描述语言。 交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交 通事故有明显效果。随着中国加入 WTO,我们不但要在经济、文化、科技等各方面与国际接轨, 在交通控制方面也应与国际接轨。俗话说“要想富,先修路” ,但路修好了如果在交通控制方面做 不好道路还是无法保障畅通安全。作为交通控制的重要组成部份的交通信号灯也应国际化。第一章第一章 VHDLVHDL 的基本概念的基本概念电子设计自动化(EDA)的关键技术之一是要求用形式化方法来描述数

6、字系统的硬件电路。硬 件描述语言 HDL 在电子设计自动化中扮演着重要角色,它是 EDA 技术研究的重点之一。作为 IEEE 标准的硬件描述语言 VHDL 已在设计中得到了广泛的应用,且影响日益深远。 1 11 1VHDLVHDL 语言的产生和发展语言的产生和发展廖凯:VHDL 红绿灯交通信号系统2硬件描述语言 HDL 是一个划时代的进步,它的出现和发展使得自顶向下的数字系统(集成电 路/计算机)设计方法成为可能,也促使新一代 EDA 工具的出现,并使得电子设计自动化方法主流 从传统的自底向上的设计方法发展到自顶向下的设计方法。 硬件描述语言的发展至今已有几十年的历史,并已成功地应用到系统的模

7、拟和设计等各方面。 到 20 世纪 80 年代后期,已出现上百种硬件描述语言,它们对设计自动化起到了促进和推动作用, 但是由于它们各自针对特定的设计领域,没有统一的标准,从而使一般用户难以使用。广大用户 所企盼的是一种面向设计的多层次、多领域且得到一致认同的标准的硬件描述语言。20 世纪 80 年代后期由美国国防部开发的 VHDL 语言恰好满足了上述要求,并在 1987 年 12 月由 IEEE 标准化。 它的出现为电子设计自动化的发展和推广奠定了坚实的基础。 在此期间,许多地方形成了 VHDL 的用户组织,VHDL 语言的标准化使得它在国际用户中获得 了广泛的理解和支持,从而又进一步促进 V

8、HDL 的发展。随后,VHDL 进入广泛的应用时代。特别 是进入 20 世纪 90 年代以后,有关 VHDL 语言的发展成为一种主流技术。VHDL 语言逐渐成为数字 系统设计的主要描述语言,它在世界各国得到了广泛应用。有文献表明,90%以上的用户已在使用 或即将使用 VHDL。 1 12 2VHDLVHDL 语言的特点语言的特点VHDL 语言能够形式化地表示电路的结构与行为,支持逻辑设计中层次与领域的描述,并借用 高级语言的精巧结构简化电路的描述,具有电路模拟与验证机制,保证设计的正确性,支持电路 描述由高层向地层的综合变换,易于理解和重用。此外,它还是一种与实现技术相独立的语言, 既不束缚于

9、某一特定的模拟程序或数字装置上,也不把设计方法强加于设计者。它允许设计者在 其使用范围内选择工艺和方法。为了适应未来的数字硬件技术,VHDL 还提供了便于将新技术引入 现有设计的潜力。VHDL 语言的最大特点是描述能力极强,可覆盖逻辑设计的诸多领域和层次,并 支持众多的硬件模型。其特点包括: (1)设计技术齐全,方法灵活,支持广泛 VHDL 语言支持自顶向下(top down)和基于库(library-based)的设计方法,而且还支持同步 电路、异步电路以及其他随机逻辑电路的设计。其范围之广是其他 HDL 语言所不能比拟的。另外, 由于 VHDL 语言早在 1987 年 12 月就已作为 I

10、EEE std 1076 标准公开发布,因此,目前大多数 EDA 工具几乎在不同程度上都支持 VHDL 语言。这样就给 VHDL 语言的进一步推广和应用创造了良好的 环境。 (2)系统硬件描述能力强 VHDL 语言具有多层次描述系统硬件功能的能力,可以从系统的数学模型直到门级电路;并且, 高层次的行为描述可以与低层次的 RTL(寄存器传送语言)描述和门级描述混合使用。VHDL 语言 能够进行系统级的硬件描述,这是它的一个最突出的优点。 (3)VHDL 语言可以与工艺无关地进行编程 在用 VHDL 语言设计系统硬件时,没有嵌入与工艺相关的信息(当然这些信息也是可以用 VHDL 描述的) 。与大多

11、数 HDL 语言的不同之处是,当门级或门级以上层次的描述通过模拟验证之 后,再用相应的工具将设计映射成不同的工艺(如 MOS,CMOS 等) 。这样,在工艺更新时,就无须 修改原设计,只要改变相应的工艺工具即可。 (4)VHDL 语言标准、规范,易于共享和重用 由于 VHDL 语言已作为一种 IEEE 的工业标准,这样设计的成果便于重用和交流,反过来又能 进一步推动 VHDL 语言的推广和完善。另外,VHDL 语言的语法比较严格,风格类似于 Ada 语言, 给阅读和使用都带来极大的方便。 1 13 3VHDLVHDL 语言与模拟语言与模拟2005 届电子信息工程专业毕业设计(论文)3VHDL

12、是为数字电路的建模和模拟(simulation)而制定的,是一种面向模拟的语言,它的语 法中有许多方面均考虑到模拟的因素。包括 VHDL 的硬件相关结构、并发性特征和混合级描述及混 合级模拟。 (1)VHDL 中的硬件相关结构 VHDL 具有许多与数字电路结构直接相关的概念,其中最主要的是元件,它是数字硬件结构 “黑盒”的抽象。VHDL 中的元件由实体、结构体两个概念共同描述完成。其中实体描述元件与 外部环境的接口,其内部行为及结构是完全隐蔽的。实体的功能定义在称为结构体的分离单元中, 结构体规定设计实体输入及输出之间的关系。一个实体可存在多个对应的结构体,分别以行为、 结构、数据流及各种方式

13、混合的描述方法实现。元件的存在使 VHDL 脱离普通程序语言的范畴,成 为描述数字电路的专用硬件设计语言。 VHDL 中的信号(signal)概念是数字电路中连线的抽象,它是各元件、各进程之间进行通信的 数据通路。VHDL 中信号的状态会影响与信号相关的进程的运行,体现数字系统的各单元的输入及 输出的状态。 VHDL 中的进程(process)完成电路行为的描述,由一系列顺序语句组成,是 VHDL 设计中进 行功能描述的基本单元。由于进程的执行是并发的,因此在 VHDL 在中引入 delta 延迟概念,用于 表示时间上无穷小的模拟步,是 VHDL 中模拟进程同步机制的关键。一个模拟时刻包括若干

14、 delta 延迟,所有进程均可能在特定条件下,在同一时刻的任一 delta 延迟点上激活。设计者的设计意 图有时希望忽略在 delta 延迟点上的变化,着重于计算一个模拟时刻结实时的稳定阶段的状态。 因此 VHDL93 引进了延迟进程的概念。该类进程只在某一时刻的最后一个 delta 延迟时激活,这样 可以降低处理频率,尤其是当用于时序检查的时候。 (2)VHDL 并发性特征 VHDL 的并发性体现在两个方面,首先在使用 VHDL 进行数字电路设计时存在并发性,即 VHDL 支持设计分解,可使被分解的各子部分的设计并行完成。一个模型的设计主要由 3 部分组成:定 义实体部分确立模型与环境的接

15、口;定义结构体部分完成模型的功能描述;定义测试部 分为模型生成测试向量,并捕获模型输出信号状态以供分析。在设计流程方面,在系统分析 阶段,系统分析者可将设计对象分为若干独立的子元件,交给若干设计小组实现。此阶段,系统 分析者严格定义元件接口,并将元件之间的相互作用以文档形式提供给各设计小组。然后,各设 计小组可独立并行地对子元件进行详细设计,并模拟验证子元件,确保正确无误。最终,系统设 计者集成各子元件形成完整的设计,对整个设计进行模拟验证。设计的并发性可极大地加快整体 设计进程并提高设计质量。 其次,VHDL 之所以称为硬件描述语言,很重要的一点是因为它在模拟执行上具有并发性,这 一点很适于描述电路活动的并发性特点,是其他程序设计语言所不具备的。并发性使得 VHDL 中的 进程类似于 UNIX 操作系统的进程概念,它们的挂起、活动均是独立的。并发性使得 VHDL 的设计 模拟可在并行机上进

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号