基于eda的高层电梯控制器设计

上传人:Bod****ee 文档编号:47171966 上传时间:2018-06-30 格式:DOC 页数:20 大小:125.03KB
返回 下载 相关 举报
基于eda的高层电梯控制器设计_第1页
第1页 / 共20页
基于eda的高层电梯控制器设计_第2页
第2页 / 共20页
基于eda的高层电梯控制器设计_第3页
第3页 / 共20页
基于eda的高层电梯控制器设计_第4页
第4页 / 共20页
基于eda的高层电梯控制器设计_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《基于eda的高层电梯控制器设计》由会员分享,可在线阅读,更多相关《基于eda的高层电梯控制器设计(20页珍藏版)》请在金锄头文库上搜索。

1、1 设计的意义一种以电动机为动力,装有箱状吊舱,用于多层建筑乘人或载运货物的垂直升降机,就是俗称的自动电梯。随着电子技术日新月异的发展,集成电路从 20 世纪 60 年代的小规模到中规模,再到大规模集成电路,伴随着它的高速发展,EDA 技术、CPLD 技术也得到充分发展。同时,随着现代社会的物质水平不断提高,越来越多的建筑是其中标志之一,对于高层建筑来说,电梯是必不可少的。除了高层建筑需要电梯外,对于服务和生产部门来说,同样需要各种各样的服务电梯和载物电梯。这使电梯的使用越来越普遍,已从原来只在商业大厦、宾馆使用,过度到在办公室、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方

2、式也在不停地发生变化。对于电梯的控制,随着技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。电梯的微机化控制主要有以下几种形式:PLC 控制,单片机控制,单板机控制,单微机控制,多微机控制,人工智能控制。随着 EDA 技术的快速发展,EDA 已广泛应用于电子设计与控制的各个方面。电梯作为高层建筑物的重要交通工具与人们的工作日益紧密 FPGA 作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一。电梯控制器是控制电梯按顾客要求自动上下的装置。

3、本课程设计文采用VHDL 语言来设计实用 16 层电梯控制器,其代码具有良好的可读性和易理解性,源程序经 Quartus II 7.2 软件仿真,目标器件选用 FPGA 器件。通过对 16 层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。2 设计原理2.1 EDA 技术介绍EDA 技术是 20 世纪 90 年代初从计算机辅助设计(Computer Adied Design)、计算机辅助制造(Computer Adied Manufacture) 、计算机辅助测试(Computer Adied Testing)和计算机辅助工程(Computer Adie

4、d Engineering)的概念发展而来的。随着超大规模集成电路(Very Large Scale Integration)规模和技术复杂度的急剧增长,一块芯片内集成门可达几十万甚至几百万门,并且还在迅速增长,电子系统人工设计已十分困难,必须依靠电子设计自动化技术。EDA 技术的基本特征和基本工具总的来说,现代 EDA 技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。它主要采用并行工程和“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生成周期的诸多方面,包括质量、成本、开发时间及用户的需求等等,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、

5、纠错、并用VHDL、Verilog-HDL、ABEL 等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,然后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。利用 EDA 技术进行电子系统的设计,具有以下几个特点:用软件的方式设计硬件;用软件方式设计的系统到硬件系统的转换是由相关的开发软件自动完成;设计过程中可用相关软件进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上,具有体积小、功耗低及可靠性高的特点。因此,EDA 技术是现代电子设计的发展趋势。电子设计自动化(EDA)是一种实现电子系统或电子产品自动化的技术,它

6、与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。2.2 系统设计及原理从设计要求中可以看出,分控制器设计相对简单,主控制器是系统的核心部分,也是设计的难点,下面讨论主控制器的设计方法。首先讨论主控制器的设计状态。根据电梯的实际工作情况,可以将主控制器的运行状态分为 6 种:停止、等待、上升、连续上升、下降和连续下降。电梯开关未打开时,处于停止状态,开关打开后,处于等待状态,之后,响应用户的请求进入上升或下降状态。连续上升状态发生在电梯不在中间层停留的情形,例如,用户在 1 层进入电梯,直到 5 层,那么在 2、3、

7、4 层都处于连续上升状态,连续下降状态同理。这里,状态划分与具体楼层无关,因此,具有很强的通用性。其次考虑输入输出端口,一个电梯开关,供电梯管理员控制电梯,开关无效时,电梯不工作;用户在进入电梯后,可以不必等待 5 秒,而提前关门,提供 close 请求服务;用户需要时也可以延迟关门,延迟时间随意,提供 delay 请求服务;电梯内部有 16 位按键,供用户输入请求楼层;16 个分控制器的上升/下降请求,即 2 个 16 位的矢量输入;还有时钟方面,根据电梯的运行速度和等待时间,系统时钟设计为 20 Hz。输出端口比较简单,一个是开门信号,控制电梯开门和关门,另一个输出当前所在楼层。2.3 模

8、块设计与实现2.3.1 主控制器模块首先说明一下电梯状态。状态机设置了 6 个状态,分别是停止、等待、上升、连续上升、下降和连续下降。在结构体 ARCHITECTURE 和 BEGIN 之间有如下定义。type statetype is(stop,pause,up,midup,down,middown);停止 stop等待 pause连续上升 midup连续下降 middown下降 down上升 upswitch=1switch=0ur=0 and dr=0ur=1position=(urr and position) position=(drr and position) position/

9、=(drr and position) rising_edge(clk)dr=1position/=(urr and position)rising_edge(clk)图 1 状态转移图signal state:statetype;打开电梯开关后,尚无请求,电梯处于等待状态。如果有用户发出请求,则电梯响应,上升至用户所在楼层(初始电梯在 1 层) 。响应的过程是:判断请求是否符合规则,如果符合,则将请求保存在上升请求寄存器(urr)中,由等待状态转为上升状态,然后启动运行定时器,1 秒钟后,到达上一层,如果此层被用户请求,则转入等待状态,自动打开电梯门;否则,转入连续上升状态,将运行计时器清零

10、,然后返回上升状态,重新启动运行定时器,再上升一层,直至到达用户所在楼层。下降的过程同理。在等待状态中,逻辑判断最为复杂。首先是判断下一步状态,是继续上升,继续下降,还是一直等待,抑或从上升转入下降,从下降转入上升,这些都是通过对方向标志位 ur、dr 的判断得到。其次是开门问题,电梯刚刚到达某一层时,必定是用户准备出梯,或者准备入梯,电梯须自动开门,启动开门计时器,5 秒后关门;如果电梯在过去一段时间内处于等待状态,现在,用户发出请求,则必须判断用户的位置,如果用户和电梯在同一层,则须立即开门使用户入梯,否则,直接到达用户所在楼层再开门。电梯内部设有提前关门和延迟关门请求按钮,用户可以根据实

11、际情况,发出请求。这两项功能是通过对开门计时器的计数控制实现的,开门计时器与运行计时器一样,都是带清零端、置位端和使能端的 8 位计数器。按下提前关门按钮,等于将开门计时器置位,所以计时提前结束,从而实现提前关门的功能;同理,按下延迟开关按钮,等于将使能端置为无效,暂停计时,从而实现延迟关门的功能。在主控制器的 VHDL 程序中定义了几个信号用于描述电梯运行状态,例如urr(上升请求寄存器) 、drr(下降请求寄存器) 、ur(上升标志)和 dr(下降标志) 。opentime 和 runtime 分别是开门计时器和运行计时器的输出。结构体中有 6 个进程,说明如下:第一个进程对系统时钟分频得

12、到运行计时器时钟;第二个进程对运行计时器时钟分频得到开门计时器时钟;第三个进程是延迟进程,用于检测信号跳变;第四个进程是对上升/下降请求寄存器置位和清零;第五个进程控制电梯运行状态;第六个进程记录电梯位置变化及响应提前、延迟关门请求。2.3.2 分控制器模块分控制器设在每层的电梯人口处,作为是检测用户按键,转换为上升/下降请求信号。在请求被执行后,清除相应信号。同时,将电梯当前位置显示给用户。3 仿真分析3.1 主控制器仿真对主控制器的仿真需要设计一些符合实际情况的假设,比如在外部发出上升请求的乘客,进入电梯内部后一定会按高层的请求按钮;在外部发出下降请求的乘客,进入电梯内部后一定会按低层的请

13、求按钮,而且乘客进入电梯后必定会按键。这些假设都是符合实际情况的。如图 2 所示,仿真的使乘客由 1 层进入电梯,在电梯内部按键,请求上升至 10 层。可以看到,初始电梯在 1 层,收到用户请求后,开门请用户入梯,然后关门并上升到 10 层,中间不作停留。到达 10 层后,自动开门,请用户出梯。如图 3 所示,仿真的是图 2 的继续,电梯在 10 层停留一段时间后,有用户在 2 层发出请求,电梯下将至 2 层,开门请用户入梯,然后关门。如图 4 所示,仿真的是电梯在 1 层,有 2 位用户进入,分别请求上升至 5层和 10 层。图中,用户在 1 层按上升请求按钮,由于电梯也在 1 层,自动开门

14、,图 2 主控制器仿真波形之一图 3 主控制仿真波形之二用户入梯。用户在电梯内部按键来选择自己要到达的楼层,假设按键有先后顺序,即不是多用户同一时间按键。上升请求寄存器(urr)保存了用户按键请求,每次到达用户所请求的楼层后,自动清除对应请求。如图 5 所示,仿真的是电梯响应延迟关门。开始时,电梯停留在 16 层一段时间,有用户在 11 层发出下降请求,电梯直接下降至 11 层,到达 11 层后自动开门,用户而人数较多,难以在 5 秒内全部进入电梯,于是,用户按延迟关门按钮,等到所有人都进入电梯后,才释放按钮,电梯关门。按键请求到 1 层,电梯下降至 1 层,自动开门,用户出梯。3.2 分控制

15、器仿真如图 6 所示,仿真的是一用户在 2 层发出上升请求信号,另一用户在 9 层发出下降请求信号。电梯从 1 层到达 2 层后停止,用户进入电梯后电梯到达 5层后,该用户出梯。然后,电梯继续上升直至 9 层,让另一用户入梯。图 4 主控制仿真波形之三图 5 主控制仿真波形之四图 6 分控制仿真波形4 设计总结两周的课程设计,使我对 EDA 有了更多的了解。在编写主控制器程序时,由于程序较为复杂,导致某些地方出现了一些问题,从而影响了仿真波形的准确性,为此我花费了大量的时间去纠正程序的错误。虽然我遇到了很大的困难,但当仿真出最终的波形时,又让我有一种成就感。在进行软件仿真和硬件仿真时,一定要细

16、心,包括软件仿真的信号给定,硬件仿真的引脚锁定。在 EDA 课程设计的日子里,可以说是苦多于甜,不断地发现问题,不断地思考问题,并解决问题。不过,通过这些学到很多东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,才能真正达到为我们的生活服务为社会服务的目的。在设计的过程中遇到的问题,反映出来我的许多不足之处,我以后要努力克服缺点,提高自己的实际动手能力和独立思考的能力。总而言之,EDA 课程设计的高层电梯控制器还是比较成功的。在设计中遇到了很多问题,但在老师的辛勤的指导下和同学们的帮助以及自己的努力之下,终于都得到了解决,这让我感觉平时所学的知识有了实用的价值,达到了理论与实际相结合的目的。最后我要感谢那些在我遇到问题时是帮助过我的老师和同学们。参考文献1.孟庆海,张洲编.VHDL 基础及经典实例开发.西安交通大学出版社,2008 年2.杜建国.verilog HDL 硬件描述语言.国防工业出版社,2004 年3. Volnei A.

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号