汽车尾灯设计论文(VHDL+EDA仿真)

上传人:飞*** 文档编号:3798207 上传时间:2017-08-12 格式:DOC 页数:15 大小:203KB
返回 下载 相关 举报
汽车尾灯设计论文(VHDL+EDA仿真)_第1页
第1页 / 共15页
汽车尾灯设计论文(VHDL+EDA仿真)_第2页
第2页 / 共15页
汽车尾灯设计论文(VHDL+EDA仿真)_第3页
第3页 / 共15页
汽车尾灯设计论文(VHDL+EDA仿真)_第4页
第4页 / 共15页
汽车尾灯设计论文(VHDL+EDA仿真)_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《汽车尾灯设计论文(VHDL+EDA仿真)》由会员分享,可在线阅读,更多相关《汽车尾灯设计论文(VHDL+EDA仿真)(15页珍藏版)》请在金锄头文库上搜索。

1、目 录1.引 言 .11.1 设计的目的 .11.2 设计的基本内容 .11.3 EDA 的介绍 .11.3.1 EDA 技术的概念 .11.3.2 EDA 技术的特点 .21.3.3 EDA 设计流程 .21.4 硬件描述语言(VHDL) .21.4.1 VHDL 的介绍 .21.4.2 VHDL 语言的特点 .32.总体设计 .42.1 需求分析 .42.2 汽车尾灯控制器的工作原理 .42.3 汽车运行状态表和总体框图 .53.详细设计 .63.1 各组成模块 .63.2 时钟分频模块 .63.3 汽车尾灯主控模块 .63.4 左边灯控制模块 .73.5 右边灯控制模块 .94.系统仿真

2、与调试 .104.1 分频模块仿真及分析 .104.2 汽车尾灯主控模块仿真及分析 .104.3 左边灯控制模块仿真及分析 .114.4 右边灯控制模块仿真及分析 .114.5 整个系统仿真及分析 .124.6 总体设计电路图 .12总结 .13参考文献 .1411.引 言随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理 1实现了汽车尾灯常用控制。1.1 设计的目的本次设计的目的就是通过实践深入理解计算机组成原理,了解 EDA 技术 2并掌握 VHD

3、L 硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的 VHDL 语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器 3的设计,巩固和综合运用所学知识,提高 IC 设计能力,提高分析、解决计算机技术实际问题的独立工作能力。1.2 设计的基本内容根据计算机中状态机原理,利用 VHDL 设计汽车尾灯控制器的各个模块,并使用 EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为 4 个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关

4、的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。1.3 EDA 的介绍 1.3.1 EDA 技术的概念EDA 是电子设计自动化(Electronic Design Automation)的缩写,在 20 世纪 90 年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA 技术就是以计算机为工具,设计者在 EDA 软件平台上,用硬件描述语言 HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。21.3.2 ED

5、A 技术的特点利用 EDA 技术进行电子系统的设计,具有以下几个特点: 用软件的方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。1.3.3 EDA 设计流程典型的 EDA 设计流程如下:1、文本/原理图编辑与修改。首先利用 EDA 工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。 3、

6、综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。4、 行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。5、适配。利用 FPGA/CPLD 布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。 6、 功能仿真和时序仿真。7、 下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过 FPGA/CPLD 下载电缆载入目标芯片中。8、 硬件仿真与测试。1.4 硬件描述语言(VHD

7、L)1.4.1 VHDL 的介绍VHDL(Very-High-Speed Integrated Circuit Hardware Description 3Language)主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL 的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL 的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分) ,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个

8、实体。这种将设计实体分成内外部分的概念是 VHDL 系统设计的基本1.4.2 VHDL 语言的特点1.用 VHDL 代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。2.VHDL 元件的设计与工艺无关,与工艺独立,方便工艺转换。3.VHDL 支持各种设计方法,自顶向下、自底向上或者混合的都可以。4.可以进行从系统级到逻辑级的描述,即混合描述。5.VHDL 区别于其他的 HDL,已形成标准,其代码在不同的系统中可交换建模。42.总体设计2.1 需求分析根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1.汽车正常使用是指示灯不亮2.汽车右转时,右侧的一盏灯亮3.汽

9、车左转时,左侧的一盏灯亮4.汽车刹车时,左右两侧的指示灯同时亮5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用 2.2 汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯 RD1 亮;当汽车向左侧转弯时,汽车左侧的指示灯 LD1 亮;当汽车刹车时,汽车右侧的指示灯 RD2 和汽车左侧的指示灯 LD2 同时亮;当汽车在夜间行驶时,汽车右侧的指示灯 RD3 和汽车左侧的指示灯 LD3 同时一直亮。通过设置系统的输入信号:系统时钟信号 CLK,汽车左转弯控制信号 LEFT,汽车右转弯控制信号 RIGHT,刹车信号

10、 BRAKE,夜间行驶信号NIGHT 和系统的输出信号:汽车左侧 3 盏指示灯 LD1、LD2、LD3 和汽车右侧 3 盏指示灯 RD1、RD2、RD3 实现以上功能。系统的整体组装设计原理如图 3.1 所示。图 2.3 整体组装设计原理52.3 汽车运行状态表和总体框图汽车尾灯和汽车运行状态表如表 1 所示。汽车尾灯和汽车运行状态表 1-1开关控制 右转尾灯 左转尾灯S0 S1 S2汽车运行状态R1 R2R3 L1L2L30 0 0 正常运行 灯灭 灯灭0 0 1 左转弯 灯灭 按 L1L2L3 顺序循环点亮0 1 0 右转弯 按 R1R2R3 顺序循环点亮 灯灭0 1 1 临时刹车/检测

11、所有尾灯同时点亮1 0 0 倒车 所有尾灯按照转弯次序点亮1 0 1 晚上行车时 R3 ,L 3 一直点亮汽车尾灯控制电路设计总体框图如图 1 所示:开关控制电路 译码电路 74138显示驱动电路记数电路 74161R1R2R3 L1L2L3脉冲产生电路 555图 1 汽车尾灯控制电路设计总体框图63.详细设计3.1 各组成模块实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。汽车尾灯控制器有 4 个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。3.2 时钟分频模块整个时钟分频模块的工作框图如图 3.2 所示。图 3.2 时钟分频模块工作框图时钟分频模块由 VHDL 程序来实现,下面是其中的一段 VHDL 代码:ARCHITECTURE ART OF SZ ISSIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF CLKEVENT AND CLK = 1THENCOUNT LPLPLPLP=0;RP=0;LR=1;END CASE;END PROCES

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号