脉冲信号发生器设计

上传人:飞*** 文档编号:32712843 上传时间:2018-02-12 格式:DOC 页数:19 大小:225KB
返回 下载 相关 举报
脉冲信号发生器设计_第1页
第1页 / 共19页
脉冲信号发生器设计_第2页
第2页 / 共19页
脉冲信号发生器设计_第3页
第3页 / 共19页
脉冲信号发生器设计_第4页
第4页 / 共19页
脉冲信号发生器设计_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《脉冲信号发生器设计》由会员分享,可在线阅读,更多相关《脉冲信号发生器设计(19页珍藏版)》请在金锄头文库上搜索。

1、烟台工程职业技术学院系 专业 级毕业设计(论文)题 目: 姓名 学号 指导教师(签名) 二 年 月 日脉冲信号发生器烟台工程职业技术学院毕业设计(论文)诚 信 承 诺 书本人慎重承诺:我所撰写的设计(论文) 脉冲信号发生器是在老师的指导下自主完成,没有剽窃或抄袭他人的论文或成果。如有剽窃、抄袭,本人愿意为由此引起的后果承担相应责任。毕业论文(设计)的研究成果归属学校所有。学生(签名)年 月 日摘要:本实验是采用 fpga 方式基于 Alter Cyclone2 EP2C5T144C8 的简易脉冲信号发生器,可以实现输出一路周期 1us 到 10ms,脉冲宽度:0.1us 到周期-0.1us,时

2、间分辨率为 0.1us 的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出) 。输出模式可分为连续触发和单次手动可预置数(09)触发,具有周期、脉宽、触发数等显示功能。采用 fpga 计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 引言(一) 方案设计与比较脉冲信号产生方案:方案一、采用专用 DDS 芯片的技术方案:目前已有多种专用 DDS 集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,内部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,

3、不满足设计要求。方案二、单片机法:利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的内部时钟一般是小于 25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。方案二:FPGA 法:利用了可编程逻辑器件的灵活性且资源丰富的特点,通过 Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。(二) 理论分析与计算脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,

4、用来对周期和高电平的计时,输出即可产生脉冲信号。脉冲信号的精度保证:时间分辨率 0.1us,周期精度:+0.1%+0.05us,宽度精度:+0.1%+0.05us,为满足精度要求,所以所选时钟频率至少 1/0.05us=20MHZ,由于试验箱上大于 10MHZ 只有 50MHZ,故选时钟信号 50MHZ,此时精度 1/50MHZ=0.02us=t thenm=00000000000000000001;f=0;elsif m=k thenf=1;else f=0;end if;end if;end process;end one;附件 4:Reg29library ieee;use ieee.s

5、td_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity reg29 isport(d:in std_logic_vector(31 downto 0);clk:in std_logic;q:out std_logic_vector(31 downto 0);end reg29;architecture one of reg29 isbegin process(clk,d)beginif clkevent and clk=1 thenq=d;end if;end process;e

6、nd one;dm1library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity dm1 isport(q:in std_logic_vector(9 downto 0);si:in std_logic_vector(16 downto 0);f:out std_logic_vector(9 downto 0);end dm1;architecture one of dm1 isbegin process(si,q)beginif si=0

7、0000000000000000 then f=0000000000;else f=q;end if;end process;end one;正弦 rom附件五:PIN_43 VCcp INPUTPIN_42 VCchange INPUTPIN_53 VCclr INPUTPIN_30 VChl INPUTPIN_26 VC2 INPUTPIN_25 VC1 INPUTPIN_27 VC3 INPUTPIN_91 VCclk INPUTPIN_4 VCcfclk INPUTPIN_94PIN_92PIN_86PIN_81PIN_80PIN_74PIN_72PIN_71PIN_70PIN_69P

8、IN_67PIN_65xianshi1.0OUTPUTPIN_60mcoutOUTPUTPIN_141PIN_139PIN_137PIN_135PIN_134PIN_13PIN_129PIN_126PIN_125PIN_12sinout9.0OUTPUTPIN_9adclkOUTPUTPIN_101PIN_10PIN_97PIN_96p3.0OUTPUTPIN_15PIN_14PIN_13PIN_12fanshi3.0OUTPUTclkt19.0k19.0fmkinstcpchangeclrhlaj1aj2aj3zq16.0mk16.0xianshiinst15 Unsignedmultipl

9、icationdata16.0 result19.0lpm_mult0inst25 Unsignedmultiplicationdata16.0 result19.0lpm_mult0inst3changezq16.0mk16.0xs16.0chginst5 Denom is UNSIGNEDNumer is UNSIGNEDnumer16.0denom9.0 quotient16.0remain9.0lpm_divide0inst610010lpm_constant0inst7 hlh16.0l9.0 xs9.0hlinst8adres9.0clock q1.0lpm_rom0inst9p1

10、p2mc1mc2mcnum3.0sinin9.0mcoutsinout9.0cufinst13adres7.0clock q9.0lpm_rom1inst21q9.0si16.0 f9.0dm1inst2AND2inst23AND3inst24NOTinst27up countermodulus 10clockaclrq3.0lpm_counter0inst1AND2inst29cincout3.0fanshiinst30429496729532lpm_constant1inst15Denom is UNSIGNEDNumer is UNSIGNEDnumer31.0denom19.0 quo

11、tient31.0remain19.0lpm_divide1inst14ABA+Bdata31.0datab31.0 result31.0lpm_ad_sub0inst19d31.0clk q31.0reg29inst10zq16.0zq16.0mcmcsin9.0sin9.0zq16.0m31.0m31.0x31.0x31.24烟台工程职业技术学院毕业(设计)成绩评定评分表评价基元 评价内涵 满分 实评分能按时完成毕业设计(论文)各阶段所要求的工作 10能综合运用所学知识分析与解决问题的能力、独立工作能力和实际动手能力 14工作态度认真、端正、虚心、严谨,严格遵守纪律 6平时成绩30小计 3

12、0能按任务书要求出成果 3论文结构完整、合理,条理清晰,对实验方案的论述正确 5能运用本学科常规研究方法及相关研究手段(如计算机、实验仪器设备等)进行实验、实践并加工处理、整合信息,实验数据可靠,实验结果正确5设计用语、格式、图纸(图表) 、数据、量和单位符合国家标准,各种资料引用规范 4视角新颖,主题突出,论据充分,论证有力,分析透彻,计算和结论正确 5论文中所表述的基本概念清楚,基础知识和专业知识的掌握牢固扎实 6文字表达通顺无误,字数符合要求 2评阅成绩30%小计 30答辩时基本概念清楚, 基础知识和专业知识的掌握牢固扎实 10答辩过程中的自述简明无误,语言流畅 10能正确回答问题,特别是本课题范围内的基本理论和基本技能问题 20课题范围以外的提问仅作参考,不计分答辩成绩40小计 40总成绩合计 100说明:评定成绩分为优秀、良好、中等、及格、不及格五个等级,实评总分 90分(含 90 分)以上记为优秀,80 分(含 80 分)以上为良好,70 分(含 70 分)以上记为中等,60 分(含 60 分)以上记为及格,60 分以下记为不及格。烟台工程职业技术学院毕业设计(论文)成绩评定评审等级表指导教师评审意见评语:评定等级:指导教师(签名):答辩小组意见评语:评定等级:负责人(签名):学院抽查意见评语:评定等级:负责人(签名):

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 其它文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号