毕业设计-基于VHDL语言的串行通信芯片的设计 2

上传人:飞*** 文档编号:3131325 上传时间:2017-07-30 格式:DOC 页数:64 大小:2.05MB
返回 下载 相关 举报
毕业设计-基于VHDL语言的串行通信芯片的设计 2_第1页
第1页 / 共64页
毕业设计-基于VHDL语言的串行通信芯片的设计 2_第2页
第2页 / 共64页
毕业设计-基于VHDL语言的串行通信芯片的设计 2_第3页
第3页 / 共64页
毕业设计-基于VHDL语言的串行通信芯片的设计 2_第4页
第4页 / 共64页
毕业设计-基于VHDL语言的串行通信芯片的设计 2_第5页
第5页 / 共64页
点击查看更多>>
资源描述

《毕业设计-基于VHDL语言的串行通信芯片的设计 2》由会员分享,可在线阅读,更多相关《毕业设计-基于VHDL语言的串行通信芯片的设计 2(64页珍藏版)》请在金锄头文库上搜索。

1、摘 要本文主要介绍基于 VHDL 语言的串行通信芯片的设计。在本次设计中,主要是对可编程逻辑器件进行程序编译,通过所编译的程序来实现串行通信芯片所具有的功能。总的来看,本设计具有许多优点,如结构简单,功能完善,使用方便,移植性强,成本低廉。关键字:可编程逻辑 串行ABSTRACTThis design mainly introduces serial communication CMOS chip according to VHDL language. In this design, it is mainly to translate and edit for the programmble

2、logic device, which realizes the function of serial communication CMOS chip by procedure edition and translation. In a word, this design has many characteristic, such as construction simple, function integrity, usage convenient, transplant strong, cheap in cost.Keyword: programmble serial目 录1 绪论42 编

3、译程序及过程52.1 硬件描述语言 VHDL 简介52.1.1 VHDL 概述52.1.2 VHDL 的结构52.1.3 VHDL 的特点62.2 可编程逻辑器件简介72.3 MAX+PLUSII 简介72.4 编译环境72.4.1 项目命名72.4.2 文件保存并检查错误82.4.3 默认一个仿真文件.SCF82.5 编译输入结点波形92.6 仿真设计项目92.6.1 打开仿真窗口92.6.2 设置仿真时间92.6.3 运行仿真时间92.6.4 分析仿真结果93 系统设计构想103.1 基本概念103.1.1 串行通信103.1.2 并行通信103.1.3 异步传送方式103.2 设计任务及

4、要求103.3 设计方案114 主体设计134.1 程序设计134.2 内部各进程描述134.3 仿真144.3.1 图形中各部分的用途164.3.2 波形分解164.3.3 波形各个部分分析16设计总结20致谢21参考文献22附录 123附录 2 开题报告36附录 3 中期报告38附录 4 英文资料40附录 5 英文翻译541 绪 论人类建立在信息交流的基础上,通信是推动人类社会文明、进步与发展的巨大动力。现代通信系统是信息时代的生命。现代通信网已经不在是单一的电话网或电报文字通信网,而是一个综合性的为多种信息服务的通信网。为适应世界性的政治与经济活动的需要,人类已经建立起世界性的全球通信网

5、。而通信接口在整个通信系统中起这交通枢纽的作用,它决定着通信过程是否能够顺利有效的完成。目前串行通信应用十分广泛,串行接口已经成为计算机的必须部件和接口之一。常见的串行接口有符合 IEEE 国际电气化标准的 RS-232、RS-422、RS-232C 等等。在 IBMPC 系列计算机中使用的是符合 RS-232C 串行传输协议的RS-232C 串行接口。这个串行接口协议可以用于选择远程和近程通信,也可以连接具有符合 RS-232C 串行协议的外部设备。 IBMPC 系列的计算机系统最多可以控制 4 个串行接口,但程序同时只能对其中一个串行接口进行存取,此外,Intel 公司的 8250 及 8

6、251 系列串行接口芯片应用也十分广泛。串行接口的使用越来越广泛,除了 PC 机之间的通信和控制外部设备外,还可以通过调制解调器(MODEM)和电话线进行远程网络,例如:通过调制解调器和电话线,拨号实现 PC 机与互连网 I nternet 的互连。本次设计的异步串行通信接口芯片主要运用硬件描述语言 VHDL 对可编程逻辑器件进行程序编译,使其能够将并行输入的数据按照指定的格式串行输出,也可以将串行输入的数据按照指定的格式并行输出。通过编程确定发送、接收的数据格式:如长度、停止位、收发功能等。本设计具有结构简单、功能完善、使用方便、成本低廉、可移植性强等特点。2 硬件描述语言及编译环境由于本次

7、设计的是传输系统,重复性比较强,采用文本输入比较方便,更改方便。固本次软件设计采用硬件描述语言 VHDL(Very High Integrated Circuit Hardware Description Language ) 对可编程逻辑器件进行编程。并且使用 Altera 公司的软件 MAX+PlusII 来对程序编译、仿真。下面对其进行分别介绍:2.1、 硬件描述语言 VHDL 简介目前,电子系统正向着集成化、大规模和高密度的方向发展,所需要的集成电路的规模越来越大,复杂程度也越来越高。对于如此大规模和复杂电路的设计问题,传统的门及描述方法线的过于琐碎,因而难以理解和管理,这就迫使人们寻

8、求更高抽象层次的描述方法和采用高层次的、自顶向下的设计方法。 硬件描述语言(HDL)就是顺应人们的这一需要而产生和发展起来的。2.1.1、 VHDL 概述VHDL(Very High Speed Integrated Circuit Hardware Description Language) 是非常高速集成电路的硬件描述语言,是可以描述硬件电路的功能、信号连接关系及定时关系的语言,它能比电路原理图更有效的表示硬件电路的特性。模块化和自顶向下、逐层分解的结构化设计思想贯穿于整个 VHDL 设计文件中。使用 VHDL 语言,可以就系统的总体要求出发,自顶向下的将设计内容细化,最后完成系统硬件的整

9、体设计。VHDL 语言已作为一种 IEEE 的工业标准,涉及接过便于复用和交流。目前,他还不能应用于模拟电路的设计,但已有人投入研究。2.1.2、VHDL 的结构一个完整的 VHDL 程序结构通常包括五个部分:实体(Entity) 、结构体(Architecture) 、配置(Configuration) 、程序包(Package)及库(Library) 。图 1 表明了 VHDL 程序的基本结构。VHDL 将所涉及的任意复杂电路系统看作一个设计单元,实体(Entity)和结构体(Architecture)是模块最基本的两个组成部分。其中,实体说明用于描述设计系统的外部接口信号,包括端口的数目

10、、方向和类型等,其作用就相当于传统设计方法中使用的元件符号;结构体部分则描述该系统的行为、系统数据的流程或系统组织结构形式。配置用于从库中选取所需的单元来组成系统设计的不同规格的不同版本,是被设计系统的功能发生变化。程序包存放设计模块能共享的数据类型、常数、子程序等。库用于存放以编译的实体、结构体、程序包及配置。一种是用户自己开发的工程软件,另一种是制造商提供的库。图 1在用 VHDL 语言描述系统硬件行为时,按语句执行顺序对其进行分类,可以分为顺序描述语句和并发描述语句。例如,进程语句是一个并发语句。在一个构造体内可以有几个进程语句同时存在,各进程语句是并发执行的。但是,在进程内部所有语句应

11、是顺序描述语句,也就是说,是按书写的顺序自上而下,一个语句一个语句地执行的。顺序描述语句只能出现在进程或子程序中,由它定义进程或子程序所执行的算法。语句中所涉及到的系统行为有时序流、控制、条件和迭代等;语句的功能操作有算法、逻辑运算,信号和变量的赋值,子程序调用等。顺序描述语句像在一般高级语言中一样,其语句是按出现的次序加以执行的。在 VHDL 语言中顺序描述语句有以下几种:WAIT 语句、断言语句、信号代入语句、变量赋值语句、IF 语句、CASE 语句、LOOP 语句、NEXT 语句、EXIT 语句、过程调用语句、NULL 语句。在 VHDL 语言中能进行并发处理的语句有进程语句、并发信号代

12、入语句、条件信号代入语句、选择信号代入语句、并发过程调用语句和块语句。 2.1.3、VHDL 的特点(1)VHDL 具有强大的功能,覆盖面广,描述能力强,可用于从门级、电路级直至系统级的描述、仿真和综合。VHDL 支持层次化设计,可以在 VHDL 的环境下,完成从简练的设计原始描述,经过层层细化求精,最终获得可直接付诸VHDL 设计VHDL 文件库(LIBRARY):用以存储预先完成的程序包和数据集合体。程序包(PACKAGE):声明在设计或实体中将用到的常数、数据类型、元件及子程序等。实体(ENTITY):声明到其他实体或其他设计的接口,即定义设计的输入/输出端口。结构体(ARCHITECT

13、URE):定义实体的实现,即电路的具体描述。配置(CONFIGURATION):为实体选定某个特定的机构体。生产的电路级或版图参数描述的全过程。(2)VHDL 具有良好的可读性。它可以被计算机接受,也容易被读者理解。用 VHDL 书写的源文件,既是程序又是文档,即使技术人员之间交换信息的文件,又可作为合同签约者之间的文件。(3)VHDL 具有良好的可移植性。作为一种以被 IEEE 承认的工业标准,VHDL 事实上已成为通用的硬件描述语言,可以在不同的设计环境和系统平台中使用。(4)使用 VHDL 可以延长设计的生命周期。因为 VHDL 硬件描述与工艺技术无关,不会因工艺化而使描述过时。VHDL

14、 支持对大规模设计的分解和已有设计的再利用。VHDL 可以描述复杂的电路系统,支持对大规模设计进行分解,有多人、多项目组来共同承担和完成。标准化的规则和风格,为设计的再利用提供了有利的支持。2.2、可编程逻辑器件简介:可编程逻辑器件(PLD)是一种半定制专用集成电路,其功能可由设计者根据自己的需要来加以定义。与中、小规模的标准逻辑器件(如 74 系列、4000系列等)相比,PLD 的工作速度快,集成度高,功耗低,适应性强。利用高密度可编程逻辑器件(HDPLD) ,甚至可以将一个较大规模的高性能数字系统集成于单片器件中,可大大减小数字设备的体积、重量和功耗,并显著提高其可靠性。2.3、MAX+PLUSII 简介MAX+PLUSII 提供了与结构无关的设计环境,确保了易于输入设

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号