基于FPGA的交通灯控制器设计说明

上传人:l**** 文档编号:166030671 上传时间:2021-02-04 格式:DOC 页数:44 大小:418.50KB
返回 下载 相关 举报
基于FPGA的交通灯控制器设计说明_第1页
第1页 / 共44页
基于FPGA的交通灯控制器设计说明_第2页
第2页 / 共44页
基于FPGA的交通灯控制器设计说明_第3页
第3页 / 共44页
基于FPGA的交通灯控制器设计说明_第4页
第4页 / 共44页
基于FPGA的交通灯控制器设计说明_第5页
第5页 / 共44页
点击查看更多>>
资源描述

《基于FPGA的交通灯控制器设计说明》由会员分享,可在线阅读,更多相关《基于FPGA的交通灯控制器设计说明(44页珍藏版)》请在金锄头文库上搜索。

1、 基于FPGA的交通灯控制器设计摘 要超高速硬件描述语言VHDL,是对数字系统进行抽象的行为与功能描述到具体的部线路结构描述,利用EDA工具可以在电子设计的各个阶段、各个层系进行计算机模拟验证,保证设计过程的正确性,可大大降低设计成本,缩短设计周期。本文介绍的数字秒表设计,利用基于VHDL的EDA设计工具,采用大规模可编程逻辑器件FPGA,通过设计芯片来实现系统功能。交通灯控制系统可以实现路口红绿灯的自动控制。基于FPGA设计的交通灯控制系统具有电路简单、可靠性强、实时快速擦写、运算速度高、故障率低、可靠性高,而且体积小的特点。本设计采用Altera公司Cyclone系列的EPlC3T1444

2、C8芯片,在Quartus II软件平台上使用VHDL语言,采用自顶向下的设计方法对系统进行了模块化设计和综合,并进行了仿真。该系统可实现十字路口红绿灯及左转弯控制和倒计时显示,仿真结果结果表明系统能够自动控制交通灯转变。关键词:VHDL,交通灯,EDATHE LIGHT CONTROLLER BASED ON FPGA DESIGNABSTRACTWith the development of the economy, microelectronic technology, computer technology and the automatic theory are developed

3、rapidly. Its application is becoming more and more widely. But the traffic light as an important traffic,it also has developed quickly with the improving requirement of the people.On all the system of the traffic light, The system of traffic control which designed based on FPGA is received by more a

4、nd more people.The system of traffic control can realize the automatic control of traffic light in a intersection. The system of traffic control which designed based on FPGA has many characteristics such as simple circuit, reliable operation, toerase function quickly with real-time applications, hig

5、h speed, high reliability, low failure rate, and small volume. By using the platform of software design system Quartus II and the form of the VHDL language, we simulate the system by using EPlC3T1444C8 Mars device and the result show that the download system can automatically control the traffic lig

6、hts. KEY WORDS: VHDL,traffic light,EDA目 录前言1第1章 FPGA概述21.1 FPGA的简介21.2 FPGA的应用2第2章 VHDL硬件描述语言32.1 VHDL程序基本结构32.1.1 实体32.1.2 结构体42.1.3 库42.2 VHDL语言42.2.1 VHDL文字规则42.2.2 VHDL数据对象42.2.3 VHDL数据类型52.2.4 VHDL 顺序语句52.2.5 VHDL并行语句5第3章 系统设计与仿真63.1 系统介绍63.1.1 设计任务63.1.2 设计要求63.2 系统设计仿真73.2.1 顶层框图的设计73.2.2 时序状

7、态图的设计73.2.3 工程设计流程框图:83.2.4 芯片的选择83.2.5 各个模块的设计与仿真8结 论23 辞24参考文献25附 录26外文资料翻译28word格式. 前言当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断进行更新换代,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师更愿意自己设计专业集成电路(ASIC)芯片,而且希望设计周期尽可能短,最好在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程器件(FPLD)。现场可编程门阵列(FPGA)即属其中应用最广泛的一种。随着电子技术

8、的发展,特别是大规模集成电路和计算机技术的研制和发展,让电子产品设计有了更好的应用市场,实现方法也有了更多的选择,而电子电路的设计却变得越来越复杂,使用“语言”进行电子设计已成为一种趋势。现代电子系统设计方法是设计师自己设计芯片来实现电子系统的功能,将传统的固件选用及电路板设计工作放在芯片设计中进行。在这些专业化软件中,EDA(Electronic Design Automation)具有一定的代表性,EDA技术是一种基于芯片的现代电子系统设计方法。基于EDA技术的现场可编程门阵列(FPGA)电 (ASIC) ,在数字系统设计和控制电路中越来越受到重视。VHDL语言是电子设计的主流硬件描述语言

9、,它更适合进行行为描述,这种方式使得设计者专注于电路功能的设计,而不必过多地考虑具体的硬件结构。基于EDA技术的现场可编程门阵列(FPGA)电路,提出现场可编程门阵列(FPGA)是近年来迅速发展的大规模可编程专用集成电路(ASIC),在数字系统设计和控制电路中越来越受到重视。VHDL语言是电子设计的主流硬件描述语言,它更适合进行行为描述,这种方式使得设计者专注于电路功能的设计,而不必过多地考虑具体的硬件结构。第1章 FPGA概述1.1 FPGA的简介FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在可编程器件的基础上进一步发展的产物。它是作为专用集

10、成电路领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。目前以硬件描述语言所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。1.2 FPGA的应用 FPGA的应用可分为三个层面:电路设计,产品设计,系统设计。电路设计连接逻辑,控制逻辑是FPGA早期发挥作用比较大的领域也是FPGA应用的基石。事实上在电路设计中应用FPGA要求开发者要具备相应的硬件知识(电路知识)和软件应用能力(开发工具)。 产品设计把相对成熟的技术应用到某些特定领域开发出满足行业需要并能被行业客户接受的产品。这方面主

11、要是FPGA技术和专业技术的结合问题,重点在性能, FPGA技术在这个领域是一个实现手段,FPGA因为具备接口,控制,功能IP,嵌CPU等特点有条件实现一个构造简单,固化程度高,功能全面的系统产品设计。系统级应用系统级的应用是FPGA与传统的计算机技术结合,实现一种FPGA版的计算机系统如用XilinxV-4, V-5系列的FPGA,实现嵌POWERPCCPU, 然后再配合各种外围功能,这个平台上跑LINIX等系统这个系统也就支持各种标准外设和功能接口了,这对于快速构成FPGA大型系统来讲是很有帮助的。第2章 VHDL硬件描述语言2.1 VHDL程序基本结构 一个相对完整的VHDL程序通常包含

12、实体、结构体、配置、程序包和库5个部分。程序模板如下:LIBRARY library name;USE library name.package name.all;ENTITY entity name IS GENERIC ( parameter name :string:=default value; parameter name :integer:=default value); PORT ( input name,input name: IN STD-LOGIC; Input vector name:IN STD_LOGIC_VECTOR( high DOWNTO low); outpu

13、t name ,output name:OUT STD_LOGIC);END entity name; ARCHITECTURE a OF entity name IS SIGNAL signal name:STD_LOGIC;BEGIN -process statement (optional): -generate statement (optional) END a; 2.1.1 实体实体一般用来描述所设计的系统的外部接口信号,是可视部分;其中最重要的部分是端口说明。端口说明语句是对于一个设计实体界面的说明。端口名是赋予每个系统引脚的名称。一个实体通常有一个或多个端口,端口类似于原理图部

14、件符合上的管脚。实体与外界交流的信息必须通过端口通道流入或流出。IEEE 1064标准包中定义了四种端口模式,其功能及符号分别是IN、OUT、INOUT、BUFFER、LINKAGE。 2.1.2 结构体结构体用于描述系统部的结构和行为,建立输入输出之间的关系。在一个实体中,可以含有一个或一个以上的结构体,而在每一个结构体中又可以含有一个或多个进程以及其他的语句。其中,实体名必须是被设计的实体的名字。结构体中的说明语句是对结构体的功能描述语句中将要用到的信号、数据类型、常数、元件、函数和过程等加以说明的语句。结构体包含两类语句:并行语句和顺序语句。在PROCESS中的语句是顺序执行的,当PRO

15、CESS所带的敏感信号发生变化时,PROCESS中的语句就会执行一遍。2.1.3 库 库使用说明用于打开(调用)本设计实体将要用到的库,库是专门存放预编译程序包的地方。IEEE库:在IEEE库中有一个STD_LOGIC的包,它是IEEE正式认可的包。STD库:STD库是VHDL的标准库,在库中有名为STANDARD的包。在使用库之前,一定要进行库说明,库的说明总是放在设计单元的前面。 2.2 VHDL语言2.2.1 VHDL文字规则VHDL文字主要包括数值和标识符。数值型文字主要有数字型、字符串型和位串型。数字型文字有:整数文字(十进制数)、实数文字(十进制数,必须带有小数点)、以数字基数表示的文字。字符串型文字有:文字字符串、数位字符串、标识符。2.2.2 VHDL数据对象在VHDL中,数据对象类似于一种容器,接受不同数据类型的赋值。数据对象有3种:即常量、信号、变量。常量是固定值,不能在程序中被改

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作范文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号