3-8译码器的VHDL设计.doc

上传人:飞****9 文档编号:136787188 上传时间:2020-07-02 格式:DOC 页数:4 大小:74KB
返回 下载 相关 举报
3-8译码器的VHDL设计.doc_第1页
第1页 / 共4页
3-8译码器的VHDL设计.doc_第2页
第2页 / 共4页
3-8译码器的VHDL设计.doc_第3页
第3页 / 共4页
3-8译码器的VHDL设计.doc_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《3-8译码器的VHDL设计.doc》由会员分享,可在线阅读,更多相关《3-8译码器的VHDL设计.doc(4页珍藏版)》请在金锄头文库上搜索。

1、3-8译码器的VHDL设计1.实体框图2.程序设计正确的程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DECODER38A IS PORT(A2,A1,A0,S1,S2,S3:IN STD_LOGIC; Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END ENTITY DECODER38A;ARCHITECTURE ONE OF DECODER38A ISSIGNAL S: STD_LOGIC_VECTOR(5 DOWNTO 0); BEGINS=A2&A1&A0&S1&S2&S3; WITH S SELECT Y

2、=11111110 WHEN 000100, 11111101 WHEN 001100, 11111011 WHEN 010100, 11110111 WHEN 011100, 11101111 WHEN 100100, 11011111 WHEN 101100, 10111111 WHEN 110100, 01111111 WHEN 111100, 11111111 WHEN OTHERS;END ARCHITECTURE ONE;3.仿真波形图4.仿真波形分析当S1 S2 S3=100时,只有当A2 A1 A0=111时,Y7才输出低电平,否则为高电平,当A2 A1 A0=110时,Y6才

3、输出低电平,否则为高电平,当A2 A1 A0=101时,Y5才输出低电平,否则为高电平,Y4到Y0同理。可见该程序设计的是3-8译码器三、共阳极数码管七段显示译码器的VHDL设计1.实体框图2.程序设计正确的程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DISPLAY_DECODER IS PORT(A3,A2,A1,A0:IN STD_LOGIC; Y:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END ENTITY DISPLAY_DECODER;ARCHITECTURE ONE OF DISPLAY_DECODER ISSIGNAL S: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINS=A3&A2&A1&A0; WITH S SELECT Y1001,即大于9,数码管无显示。由此可知,程序设计的是七段显示译码管。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 管理论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号