论文基于FPGA的交通灯设计

上传人:yh****1 文档编号:125959078 上传时间:2020-03-21 格式:DOC 页数:32 大小:1.50MB
返回 下载 相关 举报
论文基于FPGA的交通灯设计_第1页
第1页 / 共32页
论文基于FPGA的交通灯设计_第2页
第2页 / 共32页
论文基于FPGA的交通灯设计_第3页
第3页 / 共32页
论文基于FPGA的交通灯设计_第4页
第4页 / 共32页
论文基于FPGA的交通灯设计_第5页
第5页 / 共32页
点击查看更多>>
资源描述

《论文基于FPGA的交通灯设计》由会员分享,可在线阅读,更多相关《论文基于FPGA的交通灯设计(32页珍藏版)》请在金锄头文库上搜索。

1、 .摘要交通信号指示灯是城市中交通指挥疏导中不可缺少的智能工具。以前用到的大多数交通灯的控制系统都是采用单片机或者PLC进行设计开发的。本文将采用VHDL硬件描述语言来论述各模块代码,并在Quartus II开发环境下进行编译,在硬件板子上进行调试和演示。在计算机上运行成功并生产生成顶层文件后下载在FPGA器件EP2C5T144开发板子上进行验证。通过调试结果显示,本文的设计完全可以满足现在交通指挥的需求,包括时间提示显示、相关状态控制和主、支干道的红、黄、绿灯交替显示功能。本文在交通灯控制的基础上,对硬件描述语言以及其设计流程做了简单介绍。EDA工具是一种十分重要的VHDL语言开发工具,它是

2、一款能够对设计过程中任何一个环节或者阶段进行计算机模拟的强大工具,因而,该工具能够确保准确的设计,减少设计周期,大大降低成本。基于FPGA的交通灯控制器具有较高的可靠性、擦写迅速、高效的运算能力、故障少、设计简单、质量轻以及体积不大等优势。本次设计将采用EP2C5T144最小系统开发板,因为它的体积不大,可以轻松嵌入到外围电路中,完全可以快速进行逻辑判断,数据计算以及系统运算等。本文采用QuartusII软件进行开发,运用自顶向下的新型设计方法。关键词:自动、逻辑、交通灯、EDA、FPGA AbstractTraffic light controller plays a very import

3、ant role in the regulation of urban traffic. The traditional traffic light controller is based on single-chip microcomputer or PLC. This paper introduces a scheme based on FPGA technology and II Quartus development platform to realize the intersection traffic light controller. Using VHDL hardware de

4、scription language description of the module program, and in the II Quartus environment to compile, simulate, generate the top level files downloaded in the FPGA device EPF10K10LC84 FLEX for verification. The verification results show that the basic design to achieve the control of traffic light con

5、troller, including the countdown time display function and main function, the special state control, a road of red, yellow, and green light display function alternately, indicating that the design scheme is correct. In this paper, the design process of the traffic light controller, and briefly intro

6、duces the hardware description bright prospect in the digital system design of VHDL language structure model and process design, VHDL design advantages and the language and an important position.EDA tools for electronic design personnel is extremely important, it can in each stage of electronic desi

7、gn, the level of computer simulation and verification, to ensure the design accuracy and can shorten the design cycle and reduce design cost. Can realize the automatic control of traffic lights crossroads traffic lights. Based on the FPGA design of traffic lights system has high reliability, real-ti

8、me flash, high operation speed, the failure rate is low, the circuit is simple, and the volume is small. This design uses Altera cycloneii series ep2c5t144 chip as the core of the minimum system, it can easily embed into the actual traffic lights application system, can realize the function of the s

9、imple logic control, data acquisition, signal processing and mathematical computing; using QuartusII software as a development platform; using top-down designKeywords: automatic, logic, traffic lights, EDA, FPGAword 资料目录摘要IAbstractII目录III前言11 绪论21.1论文研究背景及意义21.2 FPGA开发的历史及简介31.3 EP2C5T144最小系统介绍41.4

10、本文研究的主要内容52 硬件设计62.1硬件开发环境介绍62.2硬件开发环境平台搭建62.3原理图设计113 软件设计123.1软件开发平台介绍123.2软件开发平台搭建133.3程序设计143.3.1 程序设计分析153.3.2 程序设计中需要注意的问题194 实物模型展示204.1 实物模型介绍204.2 实物模型演示方法214.2 仿真说明23总结25参考文献26致谢27附件1 部分程序源代码28前言交通灯控制指挥系统,其本质就是规范和管理行人和车辆穿越路口的行为,从确保人和车辆能够安全、快速、合理和有效通过。在现在,交通灯控制器安装在各个路口上,大大提高了路口行人和车辆的通行安全性和规

11、范性,减少了交通事故的发生几率。目前实现交通灯控制有很多方案,比如采用PLC1(可编程序控制器)、标准逻辑器件、51单片机2等方案来进行开发。若采用单片机平台进行开发设计,其大致电路框架包括基于单片机的主控电路、电源电路、显示电路和无线收发控制电路四个部分组成。控制电路的芯片为51单片机或者STC单片机都可以。同时需要一个3.3V或者5.5CV的DCDC,数码管显示电路和无线收发控制电路是由数据发射模块和编码芯片两部分组成。电路设计相对复杂不说,而且还需要进行软件的设计。虽然基于单片机的交通灯控制系统具有运行稳定,功能较多,灵活性比较好等优势,但是任然存在许多问题。特别是电路的修改比较花费时间

12、,设计比较繁琐,在一定程度下增加了设计成本和维护周期。可编程控制器PLC的优势在于是可靠性较好和稳定性较高,但是其也有诸多问题。PLC是一个封闭的结构,所以不同PLC控制器的兼容性较差,而且不同的PLC在程序设计上也存在着差异。这就意味着,一旦选择一种PLC之后,就只能按照该型号PLC的相关方式进行编编程,而且需要学习相关的设计语言规律,这些问题大大的的限制了PLC的灵活性和多元性。与前两者相比,基于FPGA的开发方案,不仅具有很好灵活性,稳定性,而且编程语言是完全统一性,而且更简单和稳定。因而,此次交通灯的控制器开发设计采用基于VHDL语言,FPGA的方式进行设计,不仅经济节约和而且更加可靠

13、稳定。其开发设计也相对更加简单,故障维护也比较容易,更加适合目前城市交通指挥的需求。1 绪论1.1论文研究背景及意义现在城市发展迅速,然而城市的交通问题却越来越严重。城市的交通道路交通压力越来越大,经常会有交通拥堵,甚至是交通事故发生,交通疏导不及时也是造成这些问题重要原因。如今,全国几乎所有城市或多或少的都存在这交通拥堵,交通混乱,甚至造成严重的交通事故,这给人们的出行以及车辆行驶带来了极大的安全隐患,甚至造成生命和财产的重大损失。那么如何能够快速指挥和疏导交通,规范和约束行人和车辆的行为,构建一个合理规范的交通秩序,是目前的当务之急。经研究调查发现,城市中拥堵的主要因素是由当前城市交通指挥

14、制度以及管理机制等问题不完善造成的,现今城市正准备打造一个智慧城市,其中的包含智慧交通,而智能交通正是城市道路上最重要的一块。本设计采用了FPGA方案设计则正好大大满足了智慧交通的理念。它将实现城市的每个路口运用交通控制系统从而控制整个城市的交通,包括系统的改进,道路的疏通,以及各路口的指挥。尤其是在十字路口,它将把现代化技术融入其中,打造成一个智慧的交通路口。为了确保路口行人与车辆能够合理、有序、安全和快速的通过相关区域,采用交通信号灯来进行指挥和疏导交通情况,将大大降低事故发生的几率以及确保交通通畅,人车有序通过。随着生活水平的提高,人们对生活质量的要求不断增加,汽车的数量还在不断增加,研

15、究和设计现代智能交通灯具有很好实际意义。现今EDA的技术发展越来越快,人们利用EAD技术越来越方便于电路。利用EDA技术和FPGA方法来实现交通灯的设计,非常的复合目前的需求,具有设计周期短,效果稳定,维护方便以及运用简单等诸多特点。这种设计方案也是符合目前电子技术发展的方向的,是比较合理。1.2 FPGA开发的历史及简介FPGA或CPLD芯片都是ASIC相关芯片系列中比较特殊的一种,其不仅具有ASIC芯片自身的特点之外,还具有如下的独特的特点:随着VLSI(超大集成电路)工艺的不断提高,现能将几毫米见方的硅片上集成上万至百万晶体管,无数个晶体管排列在一起,这样做的话既能大大的缩小空间,降低成

16、本,而且还能保持原有的功能。FPGA/CPLD芯片的所含的规模也越来越大,其中,光是单片逻辑门数就已经达上百万门。因此,它能够所实现的功能也越来越强,能在上面完成系统集成,即SOC(片上系统)。况且,每个FPGA/CPLD芯片都有质量保证,因为每块芯片在出厂之前都做过测试,测试结果达标才能投入市场,因此,设计人员完全不需要承担任何风险,设计人员只需要完成自己的设计,通过软硬件完成设计的最终步骤。所以,FPGA/CPLD的资金投入就少,减少了潜在的花费。假如使用FPGA的设计方案,用户可以随意的擦数以及编程,需要实现什么样的功能完全由用户自己决定,这样用户就能完成在不懂任何电路的情况下实现交通灯的多种功能。FPGA/CPLD芯片中还包含多种功能,比如输入工具

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 设计及方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号