基于fpga的数字调制解调器设计毕业设计

上传人:乐*** 文档编号:117405810 上传时间:2019-12-05 格式:DOC 页数:89 大小:3.36MB
返回 下载 相关 举报
基于fpga的数字调制解调器设计毕业设计_第1页
第1页 / 共89页
基于fpga的数字调制解调器设计毕业设计_第2页
第2页 / 共89页
基于fpga的数字调制解调器设计毕业设计_第3页
第3页 / 共89页
基于fpga的数字调制解调器设计毕业设计_第4页
第4页 / 共89页
基于fpga的数字调制解调器设计毕业设计_第5页
第5页 / 共89页
点击查看更多>>
资源描述

《基于fpga的数字调制解调器设计毕业设计》由会员分享,可在线阅读,更多相关《基于fpga的数字调制解调器设计毕业设计(89页珍藏版)》请在金锄头文库上搜索。

1、基于 FPGA 的数字调制解调器设计 基于 FPGA 的数字调制解调器设计 摘要摘要 本设计使用 FPGA 在 EDA 技术开发软件 Quartus上实现以正弦信号为 载波的三种调制信号 ASK、FSK、PSK 的调制和解调。系统采用 ALTERA 公司生产的 DE2 开发板,Cyclone II EP2C35F672C6 型号的 FPGA 和 EPCS16 系列的配置驱动,使用 VHDL 硬件描述语言实现,系统时钟为 50MHZ,经 四分频产生一路时钟信号经过 DDS 波形发生器形成 ASK,PSK 及 FSK 的一 路载波,FSK 的另一路载波由系统时钟经八分频后经过 DDS 波形发生器后

2、 产生。由于 ASK 和 PSK 调制特性相近,载波都为一路信号。因此在设计时 将 ASK 和 PSK 调制放在同一模块里设计,用一个选择键和两个基带信号控 制端来控制。系统时钟经过 512 分频后经过随机信号模块产生一路周期为 15 的伪随机序列作为数字调制的基带信号。在解调时,用非相干解调法解调 ASK 和 PSK 信号,用过零检测法解调 FSK 信号。经过功能仿真和验证后, 测试输出信号与基带信号是否相符。矚慫润厲钐瘗睞枥庑赖。 关键词:关键词:FPGA, ASK, PSK, FSK Digital modulation and demodulation based on FPGA Ab

3、stract This design uses FPGA on EDA technology development platform Quartus to achieve the generation and the demodulation of three modulation signal ASK,FSK,PSK as carrier through sinusoidal signals.The system uses the ALTERA companys DE2 development board,FPGA of Type Cyclone II EP2C35F672C6FPGA a

4、nd driver configuration of EPCS16 series.This system is realized in VHDL hardware description language,whose ASK,PSK and FSK carrier is generated when the four frequency produces a clock signal through the DDS waveform generator,and the system clock is 50MHZ.Because the characteristics of ASK and PS

5、K modulation are similar to each other,which means their carrier are both one way signal,the modulation of ASK and PSK are put on the same model when designed,with a selection key and the two baseband signal control ends controlling.System clock generates pseudo random sequence baseband signals whos

6、e one road cycle is 15 as baseband signals through random signal model after the 512 frequency division.When in modulation,we use non coherent demodulation to demodulate ASK and PSK signal,and the zero crossing detection method for FSK signal demodulation.After the system is tested through the funct

7、ion simulation and verification,whether the output signal and the baseband signal are conformed to each other or not will be tested聞創沟燴鐺險爱氇谴净。 Key words: FPGA, ASK, PSK, FSK 目录目录 1 1 绪论绪论 1 1残骛楼諍锩瀨濟溆塹籟。 1.1 课题背景与研究现状.1酽锕极額閉镇桧猪訣锥。 1.1.1 数字调制解调背景知识 .1彈贸摄尔霁毙攬砖卤庑。 1.1.2 FPGA 背景知识 2謀荞抟箧飆鐸怼类蒋薔。 1.2 课题的主要研

8、究工作.4厦礴恳蹒骈時盡继價骚。 1.3 本论文的结构.4茕桢广鳓鯡选块网羈泪。 2.EDA2.EDA 技术简介技术简介6 6鹅娅尽損鹌惨歷茏鴛賴。 2.1 QUARTUS II 简介 .6籟丛妈羥为贍偾蛏练淨。 2.1.1 Quartus II 的使用及主要设计流程 7預頌圣鉉儐歲龈讶骅籴。 2.1.2 Quartus II 的原理图输入设计流程 .10渗釤呛俨匀谔鱉调硯錦。 2.1.2 SignalTap II 逻辑分析仪的使用 .11铙誅卧泻噦圣骋贶頂廡。 2.2 VHDL 语言简介 .13擁締凤袜备訊顎轮烂蔷。 2.2.1 VHDL 的基本结构 .14贓熱俣阃歲匱阊邺镓騷。 2.2.2

9、 VHDL 的基本语法 .19坛摶乡囂忏蒌鍥铃氈淚。 3.3.数字调制解调原理数字调制解调原理 2121蜡變黲癟報伥铉锚鈰赘。 3.1 ASK 的调制与解调 21買鲷鴯譖昙膚遙闫撷凄。 3.1.1 ASK 调制原理 21綾镝鯛駕櫬鹕踪韦辚糴。 3.1.2 ASK 解调原理 23驅踬髏彦浃绥譎饴憂锦。 3.2 PSK 的调制与解调 23猫虿驢绘燈鮒诛髅貺庑。 3.2.1 PSK 调制原理 23锹籁饗迳琐筆襖鸥娅薔。 3.2.2 PSK 解调原理 25構氽頑黉碩饨荠龈话骛。 3.3 FSK 的调制与解调 26輒峄陽檉簖疖網儂號泶。 3.3.1 FSK 调制原理 26尧侧閆繭絳闕绚勵蜆贅。 3.3.

10、2 FSK 解调原理 27识饒鎂錕缢灩筧嚌俨淒。 4 4 硬件模块方案设计与实现硬件模块方案设计与实现3030凍鈹鋨劳臘锴痫婦胫籴。 4.1 DDS(直接数字式频率合成器).30恥諤銪灭萦欢煬鞏鹜錦。 4.1.1 DDS 原理 30鯊腎鑰诎褳鉀沩懼統庫。 4.1.2 硬件模块设计图 31硕癘鄴颃诌攆檸攜驤蔹。 4.1.3 频率控制模块32阌擻輳嬪諫迁择楨秘騖。 4.1.4 波形选择模块32氬嚕躑竄贸恳彈瀘颔澩。 4.1.5 波形存储模块33釷鹆資贏車贖孙滅獅赘。 4.1.6 顶层实体模块34怂阐譜鯪迳導嘯畫長凉。 4.1.7 程序及仿真结果分析35谚辞調担鈧谄动禪泻類。 4.2 M序列发生器.

11、36嘰觐詿缧铴嗫偽純铪锩。 4.2.1 m 序列原理 36熒绐譏钲鏌觶鷹緇機库。 4.2.2 m 序列发生器设计 38鶼渍螻偉阅劍鲰腎邏蘞。 4.2.3 m 序列产生模块 41纣忧蔣氳頑莶驅藥悯骛。 4.2.4 m 序列仿真结果分析 41颖刍莖蛺饽亿顿裊赔泷。 4.3 分频器设计43濫驂膽閉驟羥闈詔寢賻。 4.4 ASK/PSK 调制与解调 43銚銻縵哜鳗鸿锓謎諏涼。 4.4.1 ASK/PSK 调制方案 43挤貼綬电麥结鈺贖哓类。 4.4.2 ASK/PSK 调制模块 44赔荊紳谘侖驟辽輩袜錈。 4.4.3 ASK/PSK 调制仿真结果分析 45塤礙籟馐决穩賽釙冊庫。 4.4.4 ASK/P

12、SK 解调方案 46裊樣祕廬廂颤谚鍘羋蔺。 4.4.5 ASK/PSK 解调模块 47仓嫗盤紲嘱珑詁鍬齊驁。 4.4.6 ASK/PSK 解调仿真结果分析 48绽萬璉轆娛閬蛏鬮绾瀧。 4.5 FSK 调制与解调 49骁顾燁鶚巯瀆蕪領鲡赙。 4.5.1 FSK 调制方案 49瑣钋濺暧惲锟缟馭篩凉。 4.5.2 FSK 调制模块 50鎦诗涇艳损楼紲鯗餳類。 4.5.3 FSK 仿真结果分析 50栉缏歐锄棗鈕种鵑瑶锬。 4.5.4 FSK 解调方案 51辔烨棟剛殓攬瑤丽阄应。 4.5.5 FSK 解调模块 52峴扬斕滾澗辐滠兴渙藺。 4.5.6 FSK 解调仿真结果分析 52詩叁撻訥烬忧毀厉鋨骜。

13、5 5 系统调试系统调试 5454则鯤愜韋瘓賈晖园栋泷。 5.1 系统电路图 .54胀鏝彈奥秘孫戶孪钇賻。 5.2 系统仿真结果 .54鳃躋峽祷紉诵帮废掃減。 结论结论 5757稟虛嬪赈维哜妝扩踴粜。 致谢致谢 5858陽簍埡鲑罷規呜旧岿錟。 参考文献参考文献 5959沩氣嘮戇苌鑿鑿槠谔應。 附录:源代码附录:源代码 4646钡嵐縣緱虜荣产涛團蔺。 外文资料翻译外文资料翻译( (附原文附原文) ) 6666懨俠劑鈍触乐鹇烬觶騮。 1 1 绪论 1.1 课题背景与研究现状 1.1.1 数字调制解调背景知识 如今社会通信技术的发展速度可谓日新月异,计算机的出现在现代通信 技术的各种媒体中占有独特的

14、地位,计算机在当今社会的众多领域里不仅为 各种信息处理设备所使用,而且它与通信向结合,使电信业务更加丰富。随 着人类经济和文化的发展,人们对通信技术性能的需求也越来越迫切,从而 又推动了通信科学的发展。謾饱兗争詣繚鮐癞别瀘。 在通信理论上,先后形成了“过滤和预测理论” 、 “香浓信息论” , “纠错 编码理论” , “信源统计特性理论” , “调制理论”等。通信作为社会的基本设 施和必要条件,引起的世界各国的广泛关注,通信的目的就是从一方向另一 方传送信息,给对方以信息,但是消息的传送一般都不是直接的,它必须借 助于一定形式的信号才能便于远距离快速传输和进行各种处理。呙铉們欤谦鸪饺竞 荡赚。

15、虽然基带信号可以直接传输,但是目前大多数信道不适合传输基带信号。 现有通信网的主体为传输模拟信号而设计的,基带数字信号不能直接进入这 样的通信网。基带信号一般都包含有较低的频率,甚至是直流的分量,很难 通过有限尺寸的天线得到有效辐射,因而无法利用无线信道来直接传播。对 于大量有线信道,由于线路中多半串接有电容器或并接有变压器等隔直流元 件,低频或直流分量就会受到很大限制。因此,为了使基带信号能利用这些 2 信道进行传输,必须使代表信息的原始信号经过一种变换得到另一种新信号, 这种变换就是调制。实际中一般选正弦信号为载波信号。代表所传信息的原 始信号,是调制载波的信号。莹谐龌蕲賞组靄绉嚴减。 数

16、字调制传输在现代通信中发挥着越来越重要的作用,主要是因为数字 通信有以下优点: 数字信号便于存储、处理 、抗干扰能力强; 数字信号便于交换和传输; 可靠性高,传输过程中的差错可以设法控制; 数字信号易于加密且保密性强; 通用性和灵活性好。经过调制后,各路信号可已搬移到更高不重叠的 频段去传输,从而避免多路传输中的相互干扰。基于这种目的,信号 经调制后再传输的方式又称为频带传输。麸肃鹏镟轿騍镣缚縟糶。 二进制数字调制所用调制信号由“0”和“1”代表的数字信号脉冲序列 组成。因此,数字调制信号也成为键控信号。在二进制振幅调制、频率调制 和相位调制分别称为振幅键控(ASK) 、频移键控(FSK) 、相移键控(PSK) 。 数字调制产生模拟信号,其载波参量的离散状态是与数字数据相对应的,这 种信号适宜于在带通型的模拟信道上传输。虽然三种调制解调的原理比较简 单,但作为数字通信原理的入门学,理解 ASK,PSK,FSK 后可以容易理解 其他更复杂的调制系统,为以后的进一步发展打下基础。納畴鳗吶鄖禎銣腻鰲锬。 1.1.2 FPGA 背景知识 现场可编程门阵列(FPGA

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 工学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号