基于fpga的svpwm算法的实现毕业论文

上传人:xiao****1972 文档编号:116561304 上传时间:2019-11-16 格式:DOC 页数:26 大小:1.10MB
返回 下载 相关 举报
基于fpga的svpwm算法的实现毕业论文_第1页
第1页 / 共26页
基于fpga的svpwm算法的实现毕业论文_第2页
第2页 / 共26页
基于fpga的svpwm算法的实现毕业论文_第3页
第3页 / 共26页
基于fpga的svpwm算法的实现毕业论文_第4页
第4页 / 共26页
基于fpga的svpwm算法的实现毕业论文_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《基于fpga的svpwm算法的实现毕业论文》由会员分享,可在线阅读,更多相关《基于fpga的svpwm算法的实现毕业论文(26页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的SVPWM算法的实现摘 要:为了数字实现SVPWM的算法,文中采用了以FPGA作为硬件基础,给出了基于FPGA的SVPWM算法的具体算法以及软件设计。文中使用Verilog HDL编写FPGA程序,采用语句和图形编辑相结合的方式进行编程以达到程序结构清晰的目的。程序采用Mealy型状态机的程序结构,以达到增加硬件资源的利用率,结构清晰,便于数字设计的目的。其中,软件通过了Modelsim Altera进行仿真,给出了其相关的仿真波形图以说明其无误。文中使用Storm FPGA开发板对软件进行验证,其中开发板的核心芯片为Altera 公司生产的EP3C10E144C8这款FPGA芯

2、片,使用了RC滤波电路对PWM信号进行滤波处理并且给出了输出信号的示波器波形图和借助硬件电路驱动一台三相交流电机以说明其算法实现的准确性。关键词:SVPWM;FPGA;空间矢量脉宽调制;Verilog HDLThe Realization of SVPWM Algorithm Based on FPGAAbstract: To realize digital SVPWM algorithm, this paper adopted in hardware based on FPGA, and gives the specific algorithm of SVPWM algorithm base

3、d on FPGA and the software design. FPGA program written in this paper, we use Verilog HDL, adopt the way of combining statements and graphics editing program in order to achieve the purpose of the program structure is clear. Program type with Mealy state machine structure, increase the utilization o

4、f hardware resources, in order to achieve the structure is clear, for the purpose of digital design. Among them, the software through the Modelsim Altera simulation, its related simulation waveform graph is given to illustrate its correct. In this paper, we use the Storm FPGA development threatening

5、 the software validation, in which development board of the core chip of Altera company EP3C10E144C8 the FPGA chip, using the RC filter circuit to filter the PWM signal and output signal oscilloscope waveform diagram is given to illustrate the accuracy of the algorithm.Key words: Space vector pulse

6、width modulation; SVPWM; FPGA; Verilog HDL目 录1 绪论(1)1.1 空间矢量控制技术(1)1.2 现场可编程门阵列(2)1.3 本文研究内容(3)2 空间矢量控制(4)2.1 空间矢量控制基本原理(4)2.1.1空间矢量的定义(4)2.1.2电压与磁链空间矢量的关系(5)2.1.3六拍阶梯波逆变器与正六边形空间旋转磁场(6)2.1.4 电压空间矢量的线性组合(8)2.2 空间矢量控制算法(10)2.2.1恒压频比(10)2.2.2 Clark变换(10)2.2.3 开关矢量持续时间计算(11)2.2.4 扇区判断(12)2.2.5 合成参考矢量(12

7、)3 基于FPGA的SVPWM算法的软件设计(12)3.1 运算模块(13)3.1.1 三相参考电压峰值计算模块(13)3.1.2 三相电压矢量发生模块(14)3.1.3 坐标变换模块(15)3.1.4 开关导通时间计算模块(15)3.1.5 参考矢量位置判断模块(17)3.2 PWM信号发生模块(18)3.3 死区模块(19)3.4 软件总系统(19)4 硬件与调试(20)5 总结与展望(22)致 谢(23)参考文献(24)附 录(25)基于FPGA的SVPWM算法的实现1 绪论1.1 空间矢量控制技术直流电机和交流电机在19世纪先后诞生,鉴于直流电机具有优越的调速性能,高性能的可调速场合都

8、采用直流电机,而约占所有电机总容量80%以上的不变速拖动系统则采用了交流电机,交流调速系统多种方案虽然已经早已问世,并已经投入到了实际应用当中,但是其性能却始终无法与直流调速系统相比。在早期的交直交变压变频器所输出的交流波形都是六拍阶梯波或者是矩形波,当时,只能采用半控式的可控硅来控制其导通,但是其不能控制关断,由于它的不可控性和比较低的开关频率导致了逆变器输出的波形不能近似按正弦波变化,只能按照阶梯波变化,从而会产生较大的谐波,使电机输出转矩也存在脉动的分量,影响其实际工作性能,为了提高交流电机变压变频调速系统的性能。在出现全控式电力电子开关器件后,脉宽调制(PWM)技术也相应得到了广泛的应

9、用,对交流电机的变压变频调速系统起到了很大的推动作用。在20世纪80年代出现了应用PWM技术的逆变器,由于它的优良的调速性能,如今,在国内外生产的变压变频器都已经采用了脉宽调制技术,只有在全控器件尚未能够承受的特大容量的场合才没有应用上脉宽调制技术2。脉宽调制(PWM)控制技术的原理是在采样理论中,冲量相等而形状不同的窄脉冲加在具有惯性环节上,其作用效果基本相同。其中,冲量即指窄脉冲的面积。而这里所说的效果基本相同,是指环节的输出响应波形基本相同。如果把各输出波形采用傅里叶变换来分析,那么低频段的时候其输出波形是非常接近的,只在高频段范围有差异。例如图1.1所示的三个窄脉冲形状不同,其中a为矩

10、形脉冲,b为三角形脉冲,c为正弦半波脉冲,但他们的面积都相等,那么,当它们脉冲函数为d所示时即(t),环节的响应即为该环节的脉冲过度函数。我们称上述原理为面积等效原理,它就是PWM控制技术的重要理论基础1。图1.1 形状不同而冲量相同的各种窄脉冲在众多的PWM技术中,其中正弦波脉宽调制(SPWM)技术广泛应用于逆变器,而经典的正弦脉宽调制主要着眼于使变压变频器的输出电压尽量接近正弦波,并未考虑到输出电流的波形。然而交流电机需要输入三相对称正弦电流的最终目的是在电机空间中形成一个圆形的旋转磁场,从而产生恒定的电磁转矩,才能保证电机以良好的状态转动。如果我们对准这一目标,把逆变器和交流电机看成一个

11、整体,那么按照形成圆形磁场的控制要求来控制逆变器的开关工作,那么其效果应该会更好。这种控制方法叫作磁链跟踪控制,磁链的轨迹是交替使用不同的电压空间矢量通过矢量合成所得到的,所以又称“电压空间矢量PWM(SVPWM)控制”2。SVPWM控制相比于SPWM控制主要有以下优点7:(1)直流侧电压利用率相比于SPWM高出15%左右;(2)开关次数减少1/3左右;(3)能获得较好的谐波抑制等特点。其中最为主要的是第一和第二点,因为开关次数减少和电压利用率增高就相当于间接节能。但是SVPWM在算法的数字实现上较SPWM困难,主要原因是SVPWM在实现过程中,需要进行一些几何变换和运算,所以它的运算量比较大

12、,导致普通单片机已经无法满足运算要求,无法保证实时性要求,所以这里需要一种快速器件才能满足性能要求。1.2 现场可编程门阵列(FPGA)可编程逻辑器件(PLD)是20世纪70年代发展起来的一种新型的集成器件。PLD是大规模集成电路技术发展的产物,同时也是一种半定制的集成电路,结合计算机软件技术(EDA技术)可以快速、方便地构建数字系统3。不论是简单的还是复杂的数字电路系统都是由基本门构成的,如与门、或门、非门、传输门等。其中由基本门可构成两类数字电路:一类是组合电路;另一类是时序电路,含有存储元件,经过实践,人们发现在数字电路当中并不是所有的基本门都是必需的,其中,用单一的基本门与非门也可以构

13、建成其它的基本门;任何的组合逻辑都是可以通过“与或”这种逻辑关系来组合,即任何组合电路都可用门.或门这种二级电路实现;同样,任何时序电路都可以由组合电路加上存储元件(RAM、锁存器、触发器等)构成。由此,人们提出一种可编程电路结构,即乘积项逻辑可编程结构,其原理结构如图1.23。图1.2 基本PLD器件的原理结构图“与-或”结构组成的PLD器件功能比较简单,此后又从ROM的工作原理、地址信号与输出数据间的关系以及ASIC的们阵列法中获得启发,构造除了另一种可编辑的逻辑结构,即SRAM查找表的可编程逻辑构建方式。此类可编程逻辑的逻辑函数发生是采用了RAM“数据”查找方式,并使用多个查找表构成了一

14、个查找表阵列,成为可编程们阵列(PGA),随着可编程器件的规模不断扩大,随着发展FPGA也就应运而生34。现今,实现空间矢量控制主要采用的芯片是TI公司生产的DSP芯片,其独特的结构为空间矢量控制提供了一个较好的硬件平台。但是,DSP芯片实现空间矢量控制当中仍然有它的不足之处。FPGA与DSP相比有以下几个特点:(1)DSP只能产生固定6路或者12路的PWM波,FPGA只要硬件资源足够则可产生多路PWM;(2)DSP需要占用CPU资源,而FPGA以其独特的结构特点硬件实现功能;(3)纯硬件并行处理,可靠性高,速度快;(4)设计灵活,可任意定制硬件。所以,对于完成一些单一的运算过程或者功能,FP

15、GA能够在几个时钟周期内完成,实时控制上完全能够满足性能要求,在一些大型系统的应用当中,完全能担任各种固定不变的功能,在一些多微机相互配合使用的大型系统应用中,使用FPGA来完成功能还能够为其他微机节省CPU资源,所以基于FPGA的SVPWM的算法的实现具有一定的前景。1.3 本文研究内容对于现今已有的多种SVPWM的实现算法中,在保证完全能正常工作的前提下选取一种运算量较小,便于数字实现,便于FPGA实现的算法。本文所采取的算法主要是通过了前人的验证和大量资料的搜集以确保算法可行,其中所需要的算法主要有恒压频比、坐标变换、导通持续时间计算、扇区计算,PWM信号发生等,其中PWM信号发生则主要采用七段式的开关模式进行矢量合成以达到减少谐波的目的。在FPGA的软件编程实现过程中,在保证性能的前提下,尽可能地提高硬件资源的利用率。由于FPGA主要是消耗硬件资源来完成各项功能,所以提高硬件资源的利用率是必要的一个环节。在各模块的设计当中,文中主要采用Mealy型状态机的结构,在运算编写当中,使用移位求和的方法代替乘法、除法运算。在软件编程过程中,充分利用现有的软件资源,通过软件仿真验证等方法减少软件开发周期。文中主要采用Altera公司的Modelsim Altera 这款仿真软件对所

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号