现代计算机组成原理

上传人:汽*** 文档编号:571979632 上传时间:2024-08-12 格式:PPT 页数:19 大小:226.01KB
返回 下载 相关 举报
现代计算机组成原理_第1页
第1页 / 共19页
现代计算机组成原理_第2页
第2页 / 共19页
现代计算机组成原理_第3页
第3页 / 共19页
现代计算机组成原理_第4页
第4页 / 共19页
现代计算机组成原理_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《现代计算机组成原理》由会员分享,可在线阅读,更多相关《现代计算机组成原理(19页珍藏版)》请在金锄头文库上搜索。

1、现代计算机组成原理现代计算机组成原理 潘潘 明明 潘潘 松松 编著编著 科学出版社科学出版社 第第1 1章章 概概 述述 1.1 1.1 现代计算机组成原理课的任务现代计算机组成原理课的任务 图图1-1 计算机专业基本课程体系简图计算机专业基本课程体系简图 第第1 1章章 概概 述述 1.1 1.1 现代计算机组成原理课的任务现代计算机组成原理课的任务 1.1.1问题的提出问题的提出 1、缺失、缺失CPU硬件设计这一重要内容硬件设计这一重要内容 2、自主创新能力培养与训练方面的课程内容偏少、自主创新能力培养与训练方面的课程内容偏少 第第1 1章章 概概 述述 1.1 1.1 现代计算机组成原理

2、课的任务现代计算机组成原理课的任务 1.1.2探索解决问题的方法探索解决问题的方法 1与国际接轨与国际接轨 2含有符合现代工程设计技术的含有符合现代工程设计技术的CPU设计内容设计内容 3创造能力的培养创造能力的培养 4增加了有关现代计算机组成的新知识点增加了有关现代计算机组成的新知识点 第第1 1章章 概概 述述 1.2 1.2 EDAEDA技术技术 1.1.2探索解决问题的方法探索解决问题的方法 EDA (Electronic Design Automation) 作为作为EDA技术技术最终实现目标的最终实现目标的ASIC,可以通过可以通过2种途径来完成种途径来完成 。超大规模可编程逻辑器

3、件超大规模可编程逻辑器件 半定制或全定制半定制或全定制ASIC 第第1 1章章 概概 述述 1.3 FPGA器件器件 图图1-2 基本基本PLD器件的原理结构图器件的原理结构图 第第1 1章章 概概 述述 1.3 FPGA器件器件 1.3.1 FPGA的发展历程的发展历程 20世世纪纪 70年代年代 PROM和和PLA器件器件 70年代末年代末 PALPAL器件器件 80年代初年代初 GAL器件器件 80年代中期年代中期 FPGA器件器件 80年代末年代末 CPLD器件器件 90年代后年代后 大规模大规模FPGA器件器件 第第1 1章章 概概 述述 1.3 FPGA器件器件 1.3.2 Cyc

4、lone 系列系列 FPGA Cyclone与与Cyclone II系列器件系列器件 FPGA系列系列 Cyclone器件的可编程资源主要来自逻器件的可编程资源主要来自逻辑阵列块(辑阵列块(LAB),),而每个而每个LAB都是由都是由多个多个LE(Logic Element)来构成。来构成。 在在Cyclone器件中所含的嵌入式存储器由数十器件中所含的嵌入式存储器由数十个个M4K的存储器块构成。的存储器块构成。 第第1 1章章 概概 述述 1.4 VHDL 硬件描述语言硬件描述语言 硬件描述语言硬件描述语言 HDL(Hardware Description Language) 常常见见的的HD

5、L VHDL VHDL VerilogVerilog HDL HDL SystemVerilog SystemVerilog SystemC SystemC VHSIC(Very High Speed Integrated Circuit)Hardware Description Language 第第1 1章章 概概 述述 1.5 EDA设计流程设计流程 图图1-3 应用于应用于FPGA的的EDA开发流程开发流程 第第1 1章章 概概 述述 1.5 EDA设计流程设计流程 1. 编辑输入(编辑输入(Editting) (1)原理图输入。)原理图输入。 (2)状态图输入。)状态图输入。 (3)

6、波形图输入。)波形图输入。 (4)HDL文本输入。文本输入。 第第1 1章章 概概 述述 1.5 EDA设计流程设计流程 2. 综合(综合(Synthesis) 将电路的高级语言将电路的高级语言(如行为描述如行为描述)转换成低级的,可与器转换成低级的,可与器件基本结构相映射的网表文件,或电路连接图。件基本结构相映射的网表文件,或电路连接图。 与软件语言的编译(与软件语言的编译(Compilation)不同,由不同,由HDL综综合出的电路结构不是惟一的,并且综合的优化也不是单纯合出的电路结构不是惟一的,并且综合的优化也不是单纯的或一个方向的。为达到速度、面积(逻辑资源)、性能的或一个方向的。为达

7、到速度、面积(逻辑资源)、性能的要求,往往需要对综合加以约束,称为综合约束,包括的要求,往往需要对综合加以约束,称为综合约束,包括速度约束、面积约束、性能约束等。速度约束、面积约束、性能约束等。 第第1 1章章 概概 述述 1.5 EDA设计流程设计流程 3. 适配(适配(Fitting) 适配器适配器 结构综合器结构综合器 将由综合器产生的网表文件配置于指定将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,的目标器件中,使之产生最终的下载文件,如如JEDEC、Jam格式的文件。格式的文件。 第第1 1章章 概概 述述 1.5 EDA设计流程设计流程 让计算机根据一定的算

8、法和一定的仿真库对让计算机根据一定的算法和一定的仿真库对EDA设计进行模拟,以验证设计,排除错误。设计进行模拟,以验证设计,排除错误。 仿真仿真(1)时序仿真。)时序仿真。 (2)功能仿真。)功能仿真。 4. 时序仿真与功能仿真时序仿真与功能仿真 (Timing Simulation and Functional Simulation)第第1 1章章 概概 述述 1.5 EDA设计流程设计流程 5. 编程下载(编程下载(Programming) 把适配后生成的下载或配置文件,通过编程器或编程把适配后生成的下载或配置文件,通过编程器或编程电缆向电缆向FPGA进行下载,以便进行硬件调试和验证。进行

9、下载,以便进行硬件调试和验证。 6. 硬件测试硬件测试(Hardware Debugging) 将含有载入了设计的将含有载入了设计的FPGA的硬件系统进行统一测试的硬件系统进行统一测试 第第1 1章章 概概 述述 1.6 Quartus II简介简介 图图1-4 Quartus II设计流程设计流程 第第1 1章章 概概 述述 1.7 CISC和和 RISC 处理器处理器 1复杂指令系统计算机复杂指令系统计算机CISC 特点特点特点特点 指令系统复杂庞大指令系统复杂庞大 目标目标(1)面向目标程序优化。)面向目标程序优化。 (2)面向高级语言和编译程序优化。)面向高级语言和编译程序优化。 (3

10、)面向操作系统的优化。)面向操作系统的优化。 问题问题(1)20与与80规律。规律。 (2)VLSI技术发展迅速引起的问题。技术发展迅速引起的问题。 (3)软硬件的功能分配问题。)软硬件的功能分配问题。 第第1 1章章 概概 述述 1.7 CISC和和 RISC 处理器处理器 2精简指令集计算机精简指令集计算机RISC RISC设计方案是针对指令执行的设计方案是针对指令执行的 “微程序控制方式微程序控制方式”提提出来的改进方案。出来的改进方案。 主要目的主要目的 提高提高“性能性能/ /价格比价格比” 大多数指令在单周期内完成、采用大多数指令在单周期内完成、采用LOADSTORE结构、结构、硬

11、布线控制逻辑、减少指令和寻址方式的种类、硬布线控制逻辑、减少指令和寻址方式的种类、 固定的指令格式、注重编译的优化。固定的指令格式、注重编译的优化。面向寄存器结构、重视提高流水线的执行效率、面向寄存器结构、重视提高流水线的执行效率、 重视优化编译技术。重视优化编译技术。 第第1 1章章 概概 述述 1.8 1.8 FPGAFPGA在现代计算机领域中的应用在现代计算机领域中的应用 FPGA技术含量正以惊人的速度上升。电子类的新技技术含量正以惊人的速度上升。电子类的新技术项目的开发更多地依赖于术项目的开发更多地依赖于FPGA技术的应用,特别是技术的应用,特别是随着随着HDL等硬件描述语言综合工具功能和性能的提高,等硬件描述语言综合工具功能和性能的提高,计算机中许多重要的元件,包括计算机中许多重要的元件,包括CPU,都用硬件描述语都用硬件描述语言来设计和表达,许多微机言来设计和表达,许多微机CPU,硬核嵌入式系统(如硬核嵌入式系统(如ARM、MIPS)、)、软核嵌入式系统(如软核嵌入式系统(如NiosII),),大型大型CPU,乃至整个计算机系统都用乃至整个计算机系统都用FPGA来实现来实现 。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 幼儿/小学教育 > 幼儿教育

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号