电子设计自动化EDA第6章实训ppt课件

上传人:桔**** 文档编号:569985811 上传时间:2024-08-01 格式:PPT 页数:35 大小:551KB
返回 下载 相关 举报
电子设计自动化EDA第6章实训ppt课件_第1页
第1页 / 共35页
电子设计自动化EDA第6章实训ppt课件_第2页
第2页 / 共35页
电子设计自动化EDA第6章实训ppt课件_第3页
第3页 / 共35页
电子设计自动化EDA第6章实训ppt课件_第4页
第4页 / 共35页
电子设计自动化EDA第6章实训ppt课件_第5页
第5页 / 共35页
点击查看更多>>
资源描述

《电子设计自动化EDA第6章实训ppt课件》由会员分享,可在线阅读,更多相关《电子设计自动化EDA第6章实训ppt课件(35页珍藏版)》请在金锄头文库上搜索。

1、1 1第 6 章 实 训第 6 章 实 训6.1 鉴频器电路板的设计6.2 数字钟的电路设计6.3 交通讯号灯的自动控制2 2第 6 章 实 训6.1 鉴频器电路板的设计1鉴频器电路图图6.1所示为鉴频器电路,该电路输入的是等幅调频波,输出的是低频调制信号。3 3第 6 章 实 训图6.1 鉴频器电路4 4第 6 章 实 训2印制版设计图6.2 元件规划图5 5第 6 章 实 训图6.3 布线后的PCB6 6第 6 章 实 训6.2 数字钟的电路设计1电路原理数字钟电路普通由振荡器、分频器、计数器、译码器、显示器等几部分组成。这些都是数字电路中运用最广的电路,其组成框图如图6.4所示。7 7第

2、 6 章 实 训图6.4 采用LM8560的石英数字钟的方框图8 8第 6 章 实 训下面简单引见数字钟电路主要组成部分中元器件的选择:图6.5 CD4541的引脚陈列图9 9第 6 章 实 训图6.6 LM8560的引脚陈列图1010第 6 章 实 训图6.7 双阴极LED数码显示屏1111第 6 章 实 训2创建电路原理图绘制如图6.8所示的数字钟电路图。详细要求为:集成电路LM8560的封装方式是DIP28;4069的封装方式是DIP14;电阻RES2的封装方式是AXIAL0.3;电容CAP的封装方式是RAD0.1;电解电容ELECTRO1的封装方式是RB.2/.4;晶振CRYSTAL的

3、封装方式是XTAL1;二极管DIODE的封装方式是DIODE0.4;喇叭SPEAKER的封装方式是AXIAL0.4;开关K1K5的封装方式是AXIAL0.3;EN-148-28的封装方式采用自制。1212第 6 章 实 训图6.8 采用LM8560的石英数字钟电路图1313第 6 章 实 训3绘制印制电路板(1) 进展电气规那么检查并创建网络表。(2) 规划印制版,设置文档参数。要求印制版尺寸为100mm70mm;可视栅格1设置为1mm,可视栅格2设置为10mm,捕获栅格设置为0.5mm。(3) 装载原理图的网络表,由于二极管封装中的焊盘编号与原理图中的不一致,在装载过程中会出错,所以要修正封

4、装中的焊盘编号使之与原理图中的编号坚持一致,并更新PCB。(4) 对元件进展手工规划调整,调整后的规划如图6.9所示。1414第 6 章 实 训图6.9 元件规划图1515第 6 章 实 训(5) 设置自动布线参数。详细要求如下:布线间隔:0.254mm。布线转弯角度:45。布线层:顶层布线方向垂直,底层布线方向程度。布线宽度:网络GND为1mm;其他为0.5mm。进展自动布线,并进展手工调整。布线后的电路如图6.10所示。(6) 用3D察看印制版设计能否合理。1616第 6 章 实 训图6.10 布线后的PCB1717第 6 章 实 训图6.11 顶层3D图1818第 6 章 实 训图6.1

5、2 底层3D图1919第 6 章 实 训6.3 交通讯号灯的自动控制1交通灯的任务原理交通灯自动控制原理图如图6.13所示。2020第 6 章 实 训图6.13 交通灯自动控制原理图2121第 6 章 实 训2交通灯的信号功能十字路口有4组交通灯,对面两组对应,分别以红、黄、绿的次序转换。一切信号为低电平有效,即:OUT0、OUT1、OUT2分别为0、1、1时,表示纵向红灯亮,横向绿灯亮;OUT0、OUT1、OUT2分别为1、0、1时,表示纵向绿灯亮,横向红灯亮;OUT0、OUT1、OUT2分别为1、1、0时,表示纵向黄灯亮,横向黄灯亮。2222第 6 章 实 训3程序设计要求(1) 设置一个

6、交通灯任务启动按钮,高电平常开场任务,低电平常四组均显示黄灯,即制止通行。(2) 交通灯任务时,程序中先是纵向红灯亮,横向绿灯亮10s,然后一切黄灯亮5s,接着纵向绿灯亮,横向红灯亮10s,周而复始。(3) 经过改动程序中计数器的计数值来修正交通灯交替点亮的延时时间,以实现十字路口人流量的最正确控制。2323第 6 章 实 训4实验连线20(CP1)1Hz(系统任务时钟CLK);34K1(逻辑电平开关K1,表示交通灯的启停控制);10OUT0(表示纵向红灯亮,横向绿灯亮);9OUT1(表示纵向绿灯亮,横向红灯亮);8OUT2(表示纵向黄灯亮,横向黄灯亮)。5引脚锁定引脚锁定见表6.1。2424第 6 章 实 训2525第 6 章 实 训6实验程序实验程序如下:2626第 6 章 实 训2727第 6 章 实 训2828第 6 章 实 训2929第 6 章 实 训3030第 6 章 实 训3131第 6 章 实 训3232第 6 章 实 训3333第 6 章 实 训3434第 6 章 实 训3535第 6 章 实 训

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号