数字电子技术基础:第七章 半导体存储器

上传人:公**** 文档编号:569186599 上传时间:2024-07-28 格式:PPT 页数:89 大小:4.67MB
返回 下载 相关 举报
数字电子技术基础:第七章 半导体存储器_第1页
第1页 / 共89页
数字电子技术基础:第七章 半导体存储器_第2页
第2页 / 共89页
数字电子技术基础:第七章 半导体存储器_第3页
第3页 / 共89页
数字电子技术基础:第七章 半导体存储器_第4页
第4页 / 共89页
数字电子技术基础:第七章 半导体存储器_第5页
第5页 / 共89页
点击查看更多>>
资源描述

《数字电子技术基础:第七章 半导体存储器》由会员分享,可在线阅读,更多相关《数字电子技术基础:第七章 半导体存储器(89页珍藏版)》请在金锄头文库上搜索。

1、第七章 半导体存储器内容提要内容提要 本章将系统地介绍各种半导体存储器的工作原理本章将系统地介绍各种半导体存储器的工作原理和使用方法。半导体存储器包括只读存储器(和使用方法。半导体存储器包括只读存储器(ROM)和随机存储器(和随机存储器(RAM)。)。在只读存储器中,介绍了掩在只读存储器中,介绍了掩模模ROM、PROM和快闪存储器等不同类型的和快闪存储器等不同类型的ROM的的工作原理和特点;而在随机存储器中,介绍了静态工作原理和特点;而在随机存储器中,介绍了静态RAM(SRAM)和动态和动态RAM(DRAM)两种类型。两种类型。此外,也此外,也介绍了存储器扩展容量的连接方法以及用存介绍了存储器

2、扩展容量的连接方法以及用存储器设计组合逻辑电路,储器设计组合逻辑电路,重点放在这里。重点放在这里。第七章第七章 半导体存储器半导体存储器 本章重点:本章重点:1.熟悉种类、特点和用途,有哪些信号线,工作熟悉种类、特点和用途,有哪些信号线,工作原理和结构一般了解,原理和结构一般了解,2.性能:容量、速度性能:容量、速度3.应用:容量的扩展;设计组合逻辑函数应用:容量的扩展;设计组合逻辑函数本章内容本章内容7.1 概述概述7.2 只读存储器(只读存储器(ROM)7.3 随机存储器(随机存储器(RAM)7.4 存储器容量的扩展存储器容量的扩展7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数7

3、.1 概述概述1. 半导体存储器的定义半导体存储器的定义 半导体存储器就是能存储大量二值信息(或称作半导体存储器就是能存储大量二值信息(或称作二值数据)的半导体器件。它是属于大规模集成电路,二值数据)的半导体器件。它是属于大规模集成电路,由于计算机以及一些数字系统中要存储大量的数据,由于计算机以及一些数字系统中要存储大量的数据,因此存储器是数字系统中不可缺少的组成部分,其组因此存储器是数字系统中不可缺少的组成部分,其组成框图如图成框图如图7.1.1所示。所示。输输入入/ /出出电电路路I/O输入输入/ /出控制出控制图图7.1.12.存储器的性能指标存储器的性能指标 由于计算机处理的数据量很大

4、,运算速度越来越由于计算机处理的数据量很大,运算速度越来越快,故对存储器的速度和容量有一定的要求。所以将快,故对存储器的速度和容量有一定的要求。所以将存储量和存取速度作为衡量存储器的重要性能指标。存储量和存取速度作为衡量存储器的重要性能指标。目前动态存储器的容量已达目前动态存储器的容量已达109位位/片,一些高速存储片,一些高速存储器的存取时间仅器的存取时间仅10ns左右。左右。7.1 概述概述3.半导体存储器的分类半导体存储器的分类(1)从存取功能上分类)从存取功能上分类 从存取功能上可分为只读存储器(从存取功能上可分为只读存储器(ReadOnly Memory,简称简称ROM)和随机存储器

5、(和随机存储器(Random Access Memory,简称简称RAM)。)。种类种类(从存取功能分)从存取功能分)ROMRAM固定(掩膜)ROMPROMEPROMEEPROMFlashMemorySRAMDRAM特点特点:电路结构简单,断电后数据不丢失,适用于存储固定数据如程序表格特点:特点:随时快速读写数据断电后数据消失结构:地址译码+存储矩阵存储矩阵+输入/出电路(Random-Access Memory)(Read-Only Memory)ROM的特点是在正常工作状态下只能从中读取数据,的特点是在正常工作状态下只能从中读取数据,不能快速随时修改或重新写入数据。其电路结构简单,不能快速

6、随时修改或重新写入数据。其电路结构简单,而且断电后数据也不会丢失。缺点是只能用于存储一而且断电后数据也不会丢失。缺点是只能用于存储一些固定数据的场合。些固定数据的场合。7.1 概述概述a. ROM :ROM可分为掩模可分为掩模ROM、可编程、可编程ROM(Programmable ReadOnly Memory,简称,简称PROM)和可擦除的可编程)和可擦除的可编程ROM(Erasable Programmable ReadOnly Memory,简称,简称EPROM)。)。*掩模掩模ROM在制造时,生产厂家利用掩模技术把数据在制造时,生产厂家利用掩模技术把数据写入存储器中,一旦写入存储器中,

7、一旦ROM制成,其存储的数据就固制成,其存储的数据就固定不变,无法更改。定不变,无法更改。*EPROM是采用浮栅技术的可编程存储器,其数据不是采用浮栅技术的可编程存储器,其数据不但可以由用户根据自己的需要写入,而且还能擦除重但可以由用户根据自己的需要写入,而且还能擦除重写,所以具有较大的使用灵活性。它的数据的写入需写,所以具有较大的使用灵活性。它的数据的写入需要通用或专用的编程器,其擦除为照射擦除,为一次要通用或专用的编程器,其擦除为照射擦除,为一次全部擦除。电擦除的全部擦除。电擦除的PROM有有 E2PROM和和快闪快闪ROM。7.1 概述概述*PROM在出厂时存储内容全为在出厂时存储内容全

8、为1(或者全为(或者全为0),用户可用户可根据自己的需要写入,利用通用或专用的编程器,将根据自己的需要写入,利用通用或专用的编程器,将某些单元改写为某些单元改写为0(或为或为1)。b.随机存储器随机存储器RAM(读写存储器)(读写存储器) 随机存储器为在正常工作状态下就可以随时向存随机存储器为在正常工作状态下就可以随时向存储器里写入数据或从中读出数据。储器里写入数据或从中读出数据。 根据采用的存储单元工作原理不同随机存储器又根据采用的存储单元工作原理不同随机存储器又可分为静态存储器(可分为静态存储器(Static Random Access Memory,简称简称SRAM)和动态存储器(和动态

9、存储器(Dynamic Random Access Memory,简称简称DRAM)7.1 概述概述 SRAM的特点是数据由触发器记忆,只要不断电,的特点是数据由触发器记忆,只要不断电,数据就能永久保存数据就能永久保存 。但。但SRAM存储单元所用的管子数存储单元所用的管子数量多,功耗大,集成度受到限制,为了克服这些缺点,量多,功耗大,集成度受到限制,为了克服这些缺点,则产生了则产生了DRAM。它的集成度要比它的集成度要比SRAM高得多,缺高得多,缺点是速度不如点是速度不如SRAM。(2)从制造工艺上分类)从制造工艺上分类 RAM使用灵活方便,可以随时从其中任一指定地使用灵活方便,可以随时从其

10、中任一指定地址读出(取出)或写入(存入)数据,缺点是具有数址读出(取出)或写入(存入)数据,缺点是具有数据的易失性,即一旦失电,所存储的数据立即丢失。据的易失性,即一旦失电,所存储的数据立即丢失。 从制造工艺上存储器可分为双极型和单极型从制造工艺上存储器可分为双极型和单极型(CMOS型),由于型),由于MOS电路(特别是电路(特别是CMOS电路),电路),具有功耗低、集成度高的优点,所以目前大容量的存具有功耗低、集成度高的优点,所以目前大容量的存储器都是采用储器都是采用MOS工艺制作的。工艺制作的。7.1 概述概述双极型MOS型从制造工艺分同步:如SDRAM、DDR、QDR异步从时钟上分双极型

11、双极型 是以双极性触发器为基本存储单元,具有工作速度快,功耗大的特点,主要用于对工作速度要求较高的场合。 MOSMOS型型 是以MOS触发器为基本存储单元,它具有集成度高、功耗小、工艺简单等特点,主要用于大容量存储系统中。DDRSDRAM全称为DoubleDataRateSDRAM,中文名为“双倍数据流SDRAM 固定固定ROM-PROM-EPROM-Flash Memary-E2PROM-按写入按写入方式方式厂家装入数据,永不改变厂家装入数据,永不改变用户装入,只可装一次,永不改变用户装入,只可装一次,永不改变用户装入,紫外线擦除用户装入,紫外线擦除用户装入,电可擦除用户装入,电可擦除高集成

12、度,高集成度,大容量,低成大容量,低成本本,使用方便使用方便7.2 只读存储器(只读存储器(ROM)7.2 只读存储器(只读存储器(ROM)7.2.1 掩模只读存储器掩模只读存储器 在采用掩模工艺制作在采用掩模工艺制作ROM时,其中存储的数据是时,其中存储的数据是由制作过程中使用的掩模板决定的,此模板是厂家按由制作过程中使用的掩模板决定的,此模板是厂家按照用户的要求专门设计的,因此出厂时数据已经照用户的要求专门设计的,因此出厂时数据已经“固固化化”在里面了。在里面了。1. ROM的组成:的组成: ROM电电路结构包含存路结构包含存储矩阵、地址储矩阵、地址译码器和输出译码器和输出缓冲器三个部缓冲

13、器三个部分,其框图如分,其框图如图图7.2.1所示。所示。图图7.2.1a. a.存储矩阵存储矩阵存储矩阵存储矩阵 存储矩阵是由许多存储单元排列而成。存储单元存储矩阵是由许多存储单元排列而成。存储单元可以是二极管、双极型三极管或可以是二极管、双极型三极管或MOS管,每个单元能管,每个单元能存放存放1位二值代码(位二值代码(0或或1),而每一个或一组存储单元有,而每一个或一组存储单元有一个相应的地址代码。一个相应的地址代码。图图7.2.17.2.1 掩模只读存储器掩模只读存储器b.地址译码器地址译码器b.地址译码器地址译码器c. 输出缓冲器输出缓冲器 输出缓冲器的作用提高存储器的带负载能力,另外

14、是实输出缓冲器的作用提高存储器的带负载能力,另外是实现对输出状态的三态控制,以便与系统的总线相联。现对输出状态的三态控制,以便与系统的总线相联。 地址译码器是将输入的地址代码译成相应的控制信地址译码器是将输入的地址代码译成相应的控制信号,利用这个控制信号从存储矩阵中把指定的单元选出号,利用这个控制信号从存储矩阵中把指定的单元选出,并把其中的数据送到输出缓冲器,并把其中的数据送到输出缓冲器图图7.2.17.2.1 掩模只读存储器掩模只读存储器2. 二极管二极管ROM电路电路7.2.1 掩模只读存储器掩模只读存储器 图图7.2.2是具有是具有2位地址输入码和位地址输入码和4位位数据输出的数据输出的

15、ROM电电路。其地址译码器路。其地址译码器是由是由4个二极管与门个二极管与门构成,存储矩阵是构成,存储矩阵是由二极管或门构成,由二极管或门构成,输出是由三态门组输出是由三态门组成的。成的。图图7.2.2其中:其中:地址译码器是由地址译码器是由4个二极个二极管与门组成,管与门组成,A1、A0称称为地址线,译码器将为地址线,译码器将4个个地址码译成地址码译成W0W3 4根根线上的高电平信号。线上的高电平信号。 W0W3叫做字线。叫做字线。图图7.2.27.2.1 掩模只读存储器掩模只读存储器存储矩阵是由存储矩阵是由4个二极管个二极管或门组成的编码器,当或门组成的编码器,当W0W3每根线分别给出每根

16、线分别给出高电平信号时,都会在高电平信号时,都会在D0D34根根线上输出二进线上输出二进制代码,制代码, D0D3称为位称为位线(或数据线)。线(或数据线)。7.2.1 掩模只读存储器掩模只读存储器A0An-1W0W(2n-1)字线字线位线位线输出端的缓冲器用来输出端的缓冲器用来提高带负载能力,并提高带负载能力,并将输出的高低电平变将输出的高低电平变换成标准的逻辑电平。换成标准的逻辑电平。同时通过给定同时通过给定 EN 信号实现对输出的三信号实现对输出的三态控制,以便与总线态控制,以便与总线相联。在读出数据时,相联。在读出数据时,只要输入指定的地址只要输入指定的地址代码,同时令代码,同时令 E

17、N 0,则指定的地址内则指定的地址内各存储单元所存数据各存储单元所存数据便出现在数据输出端。便出现在数据输出端。7.2.1 掩模只读存储器掩模只读存储器图图7.2.2的存储的内容的存储的内容见表见表7.2.1图图7.2.27.2.1 掩模只读存储器掩模只读存储器7.2.1 掩模只读存储器掩模只读存储器图图7.2.3也可以用简化画法。凡是有二极管的位置,均也可以用简化画法。凡是有二极管的位置,均用交叉点用交叉点“.”表示,并且省略电阻、输出缓冲器和电表示,并且省略电阻、输出缓冲器和电源等符号,如图源等符号,如图7.2.4所示。所示。图图7.2.2注:注: a. 通常将每个输出的代码叫一个通常将每

18、个输出的代码叫一个“字字”(WORD),),W0W1为字线,为字线,D0D3为位线,其相交为位线,其相交叉的点就是一个存储单元,其中有二极管的相当于存叉的点就是一个存储单元,其中有二极管的相当于存1,没有二极管相当于存没有二极管相当于存0.因此交叉点的数目即为存储单元因此交叉点的数目即为存储单元数。习惯上用存储单元的数目表示存储器的存储量(或数。习惯上用存储单元的数目表示存储器的存储量(或称为容量)即称为容量)即b. 二极管二极管ROM的电路结构简单,故集成度可以做的很的电路结构简单,故集成度可以做的很高,可批量生产,价格便宜。高,可批量生产,价格便宜。c. 可以把可以把ROM看成一个组合逻辑

19、电路,每一条字线看成一个组合逻辑电路,每一条字线就是对应输入变量的最小项,而位线是最小项的或,就是对应输入变量的最小项,而位线是最小项的或,故故ROM可实现逻辑函数的与或标准式。可实现逻辑函数的与或标准式。7.2.1 掩模只读存储器掩模只读存储器存储容量字数存储容量字数位数位数如上述如上述ROM的存储量为的存储量为4416位位 。(2)(2)由由由由CMOSCMOS构成构成构成构成 利用利用MOS工艺制成的工艺制成的ROM,其译码器、存储矩阵其译码器、存储矩阵和输出缓冲器全部采用和输出缓冲器全部采用MOS管。图管。图7.2.5只给出存储矩只给出存储矩阵的原理图。存储的数据与表阵的原理图。存储的

20、数据与表7.2.1相同。相同。图图7.2.57.2.1 掩模只读存储器掩模只读存储器由图中由图中可以看出,字线和位线的交叉点可以看出,字线和位线的交叉点,接,接MOS管的管的相当于存相当于存1,没有的相当于存没有的相当于存0.当某根字线为高电平时,当某根字线为高电平时,接在其上的接在其上的MOS导通,其位线为低电平,通过三态非导通,其位线为低电平,通过三态非门后,输出数据为门后,输出数据为1.7.2.1 掩模只读存储器掩模只读存储器图图7.2.5掩模掩模ROM的特点:的特点:出厂时已经固定,不出厂时已经固定,不能更改,适合大量生能更改,适合大量生产简单,便宜,非易产简单,便宜,非易失性失性7.

21、2.1 掩模只读存储器掩模只读存储器7.2.2 可编程只读存储器(可编程只读存储器(PROM) 在开发数字电路新产品的工作过程中,或小批量生在开发数字电路新产品的工作过程中,或小批量生产产品时,由于需要的产产品时,由于需要的ROM数量有限,设计人员经常数量有限,设计人员经常希望按照自己的设想迅速写入所需要内容的希望按照自己的设想迅速写入所需要内容的ROM。这这就出现了就出现了PROM可编程只读存储器。可编程只读存储器。 PROM的整体结构和掩模的整体结构和掩模ROM一样,也有地址一样,也有地址译码器、存储矩阵和输出电路组成。但在出厂时存储译码器、存储矩阵和输出电路组成。但在出厂时存储矩阵的交叉

22、点上全部制作了存储单元,相当于存入了矩阵的交叉点上全部制作了存储单元,相当于存入了1.如图如图7.2.6所示所示 在在图图7.2.6中,三极管的中,三极管的be结接结接在字线和位线之间,相当于字线和在字线和位线之间,相当于字线和位线之间的二极管。快速熔断丝接位线之间的二极管。快速熔断丝接在发射极,当想写入在发射极,当想写入0时,只要把相时,只要把相应的存储单元的熔断丝烧断即可。应的存储单元的熔断丝烧断即可。但只可编写一次但只可编写一次图图7.2.6图图7.2.7为为168位的位的PROM结构原理图。结构原理图。写入时,要使用编写入时,要使用编程器程器7.2.1 可编程只读存储器(可编程只读存储

23、器(PROM)图图7.2.7 由此可见由此可见PROM的内容一旦写入则无法更改,只可的内容一旦写入则无法更改,只可以写一次,为了能够经常修改存储的内容,满足设计以写一次,为了能够经常修改存储的内容,满足设计的要求,需要能多次修改的的要求,需要能多次修改的ROM,这就是可擦除重写,这就是可擦除重写的的ROM。这种擦除分为紫外线擦除(。这种擦除分为紫外线擦除(EPROM)和电)和电擦除擦除E2PROM,及快闪存储器(,及快闪存储器(Flash Memory)。)。7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)一、一、 EPROM(UltraViolet Erasabl

24、e Programmable ReadOnly Memory,简称简称UVEPROM) EPROM和前面的和前面的PROM在总体结构上没有大的在总体结构上没有大的区别,只是存储单元不同,采用叠栅注入区别,只是存储单元不同,采用叠栅注入MOS管管(Stackedgate Injuction MetalOxideSemiconductor,简称,简称SIMOS)做为存储单元。)做为存储单元。7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)常用的常用的EPROM有有2716(2K8)、2732(4K8)、2764(8K8)等,等,型号后面的几位数表示的是存型号后面的几位数

25、表示的是存储容量,单位为储容量,单位为K。二二 、E2PROM(Electrically Erasable Programmable ReadOnly Memory,简写为简写为E2PROM)7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM) 虽然紫外线擦除的虽然紫外线擦除的EPROM具有重写功能,但擦除具有重写功能,但擦除操作复杂,速度慢。为了祢补这些不足,则产生了用操作复杂,速度慢。为了祢补这些不足,则产生了用电信号擦除的电信号擦除的PROM就是就是E2PROM 。 E2PROM的存的存储单元采用浮栅储单元采用浮栅隧道氧化层隧道氧化层MOS管,简称管,简称Flot

26、ox管,其结构图和管,其结构图和符号如图符号如图7.2.11所示。所示。图图7.2.11三、三、 快闪存储器(快闪存储器(Flash Memory) 其结构和其结构和EPROM中的中的SIMOS管相似,只是浮置管相似,只是浮置栅和衬底之间的氧化层的厚度不同,快闪存储器中的栅和衬底之间的氧化层的厚度不同,快闪存储器中的此厚度很薄,仅为此厚度很薄,仅为1015nm。以及另外一些特殊的制以及另外一些特殊的制造技术。因此快闪存储器即吸收了造技术。因此快闪存储器即吸收了EPROM的结构简的结构简单、编程可靠的优点,也保留了单、编程可靠的优点,也保留了E2PROM擦除的快捷擦除的快捷特性,且集成度很高。特

27、性,且集成度很高。7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)为提高集成度,省去为提高集成度,省去T2(选通管)改用叠栅(选通管)改用叠栅MOS管管(类似(类似SIMOS管)管)叠栅叠栅MOS管和管和flash存储单元如图存储单元如图7.2.13所示。所示。7.3 随机存储器随机存储器(RAM) 随机存储器也叫随机读随机存储器也叫随机读/写存储器,即在写存储器,即在RAM工工作时,可以随时从任一指定的地址读出数据,也可随作时,可以随时从任一指定的地址读出数据,也可随时将数据写入指定的存储单元。时将数据写入指定的存储单元。其特点是:读、写方便,使用灵活。缺点是:存

28、入的其特点是:读、写方便,使用灵活。缺点是:存入的数据易丢失(即停电后数据随之丢失)。分类:静态数据易丢失(即停电后数据随之丢失)。分类:静态随机存储器(随机存储器(SRAM)和动态随机存储器(和动态随机存储器(DRAM)。)。7.3.1 静态随机存储器(静态随机存储器(SRAM)一一 、 SRAM的结构和工作原理的结构和工作原理 SRAM电路一般由存储矩阵、地址译码器和读电路一般由存储矩阵、地址译码器和读/写控制电路(也叫输入写控制电路(也叫输入/输出电路)三部分组成,其输出电路)三部分组成,其框图如图框图如图7.3.1所示。所示。其中:其中:*存储矩阵:它是由许多存储单元排列而成,每个存存

29、储矩阵:它是由许多存储单元排列而成,每个存储单元都能存储储单元都能存储1位二值数据(位二值数据(1或或0),在译码器和读,在译码器和读/写电路的控制下,即可写入数据,也可读出数据。写电路的控制下,即可写入数据,也可读出数据。7.3.1 静态随机存储器(静态随机存储器(SRAM)图图7.3.1*地址译码器:地址译码器: 地址译码器一般都分为地址译码器一般都分为行地址译码器和列地址译行地址译码器和列地址译码器码器两部分。行地址译码器将输入的地址代码的若干两部分。行地址译码器将输入的地址代码的若干位位A0Ai译成某一条字线的输出高、低电平信号,从存译成某一条字线的输出高、低电平信号,从存储矩阵中选中

30、一行存储单元;储矩阵中选中一行存储单元;7.3.1 静态随机存储器(静态随机存储器(SRAM)列地址译码器将输入地址代码的其余几位列地址译码器将输入地址代码的其余几位Ai1 An1译成某一根输出线上的高、低电平信号,从字线选中译成某一根输出线上的高、低电平信号,从字线选中的一行存储单元中再选的一行存储单元中再选1位(或几位),使这些被选位(或几位),使这些被选中的单元经读中的单元经读/写控制电路与输入写控制电路与输入/输出接通,以便对输出接通,以便对这些单元进行读、写操作。这些单元进行读、写操作。7.3.1 静态随机存储器(静态随机存储器(SRAM) 例如:容量为2561的存储器(1 1)地址

31、译码器)地址译码器译译码码方方式式单译码单译码 双译码双译码 -n条地址线构成条地址线构成 2n 条字线。若条字线。若n=10,则有则有1024条字线条字线将地址分成两部分,分别由行译码器和列将地址分成两部分,分别由行译码器和列译码器共同译码译码器共同译码其输出为存储矩阵的行列选择线,由它们其输出为存储矩阵的行列选择线,由它们共同确定欲选择的地址单元共同确定欲选择的地址单元 例如:容量为2561的存储器(1 1)地址译码器)地址译码器若给出地址A7-A0=00100001,将选中哪个存储单元读/写?8根列根列地址地址选择线选择线32根行地址根行地址选择线选择线32 8 =256个存储单元个存储

32、单元存储单元存储单元 Y0 Y1 Y7 A4 X1 X31 X0 列列 地地 址址 译译 码码 器器 行行地地址址译译码码器器 A5 A3 A2 A1 A0 A6 A7 图图 7.2.5 若容量为2564的存储器,有256个字,8根地址线A7-A0,但其数据线有4根,每字4位。8根列根列地地址选择线址选择线32根行地根行地址选择线址选择线1024个个存储单存储单元元 若给出地址A7-A0=00011111,哪个单元的内容可读/写?*读读/写控制电路写控制电路: 读读/写控制电路用于对电路的工作状态进行控制。当写控制电路用于对电路的工作状态进行控制。当读读/写控制信号写控制信号R/W =1时,执

33、行读操作时,执行读操作,将存储单元,将存储单元里的数据送到输入里的数据送到输入/输出端上;输出端上;当当 R/W 0时,执行写时,执行写操作操作,加到输入,加到输入/输出端上的数据被写入存储单元中。在输出端上的数据被写入存储单元中。在读读/写控制电路中另设有片选输入端写控制电路中另设有片选输入端 CS 。当。当CS 0时,时,RAM为正常工作状态;当为正常工作状态;当CS 1时,所有的输入时,所有的输入/输出端均为高阻态,不能对输出端均为高阻态,不能对RAM进行读进行读/写操作。写操作。7.3.1 静态随机存储器(静态随机存储器(SRAM)注:上述框图的双向注:上述框图的双向箭头表示一组可双向

34、箭头表示一组可双向传输数据的导线,它传输数据的导线,它所包含的导线的数目所包含的导线的数目等于并行输入等于并行输入/输出输出数据的位数。数据的位数。7.3.1 静态随机存储器(静态随机存储器(SRAM)*总之,一个总之,一个RAM有三类线:有三类线:地址线是单向的,它传地址线是单向的,它传送地址码(二进制),以便按地址访问存储单元。送地址码(二进制),以便按地址访问存储单元。数数据线是双向的,它将数据码(二进制数)送入存储矩阵据线是双向的,它将数据码(二进制数)送入存储矩阵或从存储矩阵读出。或从存储矩阵读出。读读/写控制线传送读(写)命令,写控制线传送读(写)命令,即读时不写,写时不读。即读时

35、不写,写时不读。图图7.3.2为为10244位的位的RAM2114的工作原理图的工作原理图7.3.1 静态随机存储器(静态随机存储器(SRAM)图图7.3.2A9地址译码器:地址译码器:10根地址线根地址线A0A9,分,分2组,组,6根行地址根行地址输入线输入线A8A3加到行地址译码器上,其输出为加到行地址译码器上,其输出为2664根根行地址输出线行地址输出线X0X63;4根列地址输入线根列地址输入线A2A0、A9加到列地址译码器上,译出加到列地址译码器上,译出24 16列地址输出线,其列地址输出线,其输出信号从已选中一行里挑出要读写的输出信号从已选中一行里挑出要读写的4个存储单元,个存储单元

36、,即每个字线包含即每个字线包含4位位I/O1 I/O4。7.3.1 静态随机存储器(静态随机存储器(SRAM)逻辑符号如图逻辑符号如图7.3.3所示所示图图7.3.3其中:其中:存储单元:存储单元:64644096,排列成排列成64行和行和64列的矩阵列的矩阵*I/O1 I/O4:数据输入数据输入端也是数据读出端。读端也是数据读出端。读/写操作是由写操作是由 R/W 和和 CS 控制的。控制的。*读读/写控制:当写控制:当 CS 0, R/W 1时,为读出状时,为读出状态,存储矩阵地数据被读出,数据从态,存储矩阵地数据被读出,数据从I/O1 I/O4输出。输出。当当CS 0, R/W 0时,执

37、行写入操作,时,执行写入操作,I/O1 I/O4上的数据写入到存储矩阵中。上的数据写入到存储矩阵中。7.3.1 静态随机存储器(静态随机存储器(SRAM)若若CS 1 ,则所有的,则所有的I/O端都处于禁止状态,将存储端都处于禁止状态,将存储器内部电路与外部连线隔离,此时可以直接把器内部电路与外部连线隔离,此时可以直接把I/O1 I/O4与与系统总线相连,或将多片系统总线相连,或将多片2114的输入的输入/输出端并联输出端并联使用。使用。如:如:A9A2A0=0001,A8A3=111110时,则时,则Y1=1,X62=1,这样可这样可对它们交点对它们交点D4D1进行读写操作。进行读写操作。*

38、 存储矩阵:存储矩阵:2114中有中有64行行(164)列列4096个存个存储单元,每个存储单元都是由储单元,每个存储单元都是由6个个NMOS管组成,其管组成,其示意图如图示意图如图7.3.4所示。所示。7.3.1 静态随机存储器(静态随机存储器(SRAM)二、二、二、二、 SRAMSRAM的静态存储单元的静态存储单元的静态存储单元的静态存储单元 静态存储单元静态存储单元是在静态触发器的是在静态触发器的基础上附加门控管基础上附加门控管而成,它是靠触发而成,它是靠触发器的自保持功能存器的自保持功能存储数据的。储数据的。 图图7.3.5是由六是由六只只N沟道增强型沟道增强型MOS管组成的静管组成的

39、静态存储单元。态存储单元。1.MOS管构成:管构成:7.3.1 静态随机存储器(静态随机存储器(SRAM)图图7.3.5图图7.3.57.3.1 静态随机存储器(静态随机存储器(SRAM)其中:其中:T1T4:组成基本:组成基本SR锁存器,用于锁存器,用于记忆一位二值代记忆一位二值代码;码;T5、T6 :是门控:是门控管,作模拟开关使管,作模拟开关使用,用来控制触发用,用来控制触发器的器的Q、Q ,和和 位线位线Bj、Bj 之间之间的联系。的联系。 T5、T6的开关状态的开关状态是由是由 字线字线Xi 决定,决定,当当Xi 1时,时, T5、T6导通,锁存器的导通,锁存器的输出和位线接通;输出

40、和位线接通;当当 Xi0时,时, T5、T6截止,锁存器与截止,锁存器与位线断开。位线断开。图图7.3.57.3.1 静态随机存储器(静态随机存储器(SRAM)T7、T8 :是每一列:是每一列存储单元公用的两存储单元公用的两个门控管,用于和个门控管,用于和读读/写缓冲放大器写缓冲放大器之间的连接之间的连接T7、T8是由列地是由列地址译码器的输出址译码器的输出端端Yj来控制的。来控制的。当当 Yj 1时,所时,所在的列被选中在的列被选中 ,T7、T8导通,导通,这时第这时第i行第行第j 列列的单元的单元与的单元的单元与缓冲器相连;当缓冲器相连;当 Yj 0 时,时, T7、T8截止。截止。图图7

41、.3.57.3.1 静态随机存储器(静态随机存储器(SRAM)工作原理:工作原理:工作原理:工作原理:7.3.1 静态随机存储器(静态随机存储器(SRAM)当存储单元所在的当存储单元所在的一行和所在地一列一行和所在地一列同时被选中以后,同时被选中以后,即即Xi 1 ,Yj 1 ,T5、T6 、T7、T8均处于导通状态,均处于导通状态, Q、Q 和和 Bj、Bj 之间接通。之间接通。若这时若这时CS 0,R/W 1,则读则读/写缓冲放大器的写缓冲放大器的A1接通,接通,A2、A3不通,不通,Q的状态经的状态经A1送到送到I/O端,实现数据读出端,实现数据读出图图7.3.5若若 CS 0,R/W

42、0 ,则,则A1不通,不通, A2、A3接通,加接通,加到到I/O的数据被写的数据被写入存储单元。入存储单元。注:由于注:由于CMOS电路的功耗极低,电路的功耗极低,虽然制造工艺比虽然制造工艺比较复杂,但大容较复杂,但大容量的静态存储器量的静态存储器几乎全部采用几乎全部采用CMOS存储单元存储单元7.3.1 静态随机存储器(静态随机存储器(SRAM)图图7.3.52.双极型双极型SRAM的存储单元(自学)的存储单元(自学)7.3.1 静态随机存储器(静态随机存储器(SRAM)*7.3.2 动态随机存储器(动态随机存储器(DRAM)()(自学)自学)7.4 存储容量的扩展存储容量的扩展 当使用一

43、片当使用一片ROM或或RAM器件不能满足对存储容量器件不能满足对存储容量的需求时,则需要将若干片的需求时,则需要将若干片ROM或或RAM组合起来,构组合起来,构成更大容量的存储器。成更大容量的存储器。存储容量的扩展方式有两种:存储容量的扩展方式有两种:位扩展方式和字扩展方式。位扩展方式和字扩展方式。7.4.1 位扩展方式位扩展方式 若每一片若每一片ROM或或RAM的的字数够用而位数不足字数够用而位数不足时,时,应采用位扩展方式。应采用位扩展方式。接法:将各片的地址线、读写线、接法:将各片的地址线、读写线、片选线并联即可片选线并联即可图图7.4.1是用是用8片片10241的的RAM构成构成102

44、48的的RAM接接线图。线图。7.4.1 位扩展方式位扩展方式图图7.4.17.4.2 字扩展方式字扩展方式 若每一片存储器若每一片存储器(ROM或或RAM)的数据位数够而字)的数据位数够而字数不够时,则需要采用字扩展方式,以扩大整个存储数不够时,则需要采用字扩展方式,以扩大整个存储器的字数,得到字数更多的存储器。器的字数,得到字数更多的存储器。例例7.4.1 用用4片片2568位的位的RAM接成一个接成一个10248位的位的RAM接线图接线图10248 RAM解:解:每一片每一片2568的的A0 A7可提供可提供28256个地址,为个地址,为00到到11,用扩展的字,用扩展的字A8、 A9构

45、成的两位代码区别四片构成的两位代码区别四片2568的的RAM,即将,即将A8、 A9译成四个低电平信号,分译成四个低电平信号,分别接到四片别接到四片2568RAM的的CS ,如下表,如下表A9A8CS1 CS2 CS3 CS4 0 00 00 01 11 11 10 01 11 10 01 11 11 10 01 11 10 01 11 11 11 11 11 10 07.4.2 字扩展方式字扩展方式四片四片2568RAM地址分配为地址分配为7.4.2 字扩展方式字扩展方式(2)(3)(4)实现的电路如图实现的电路如图7.4.3所示所示7.4.2 字扩展方式字扩展方式图图7.4.3图图7.4.

46、4为由为由4片片2114构成的构成的40964位位RAM的电路连线图。的电路连线图。7.4.2 字扩展方式字扩展方式其各片其各片RAM电路的地址分配如表电路的地址分配如表7.2.17.4.2 字扩展方式字扩展方式注:注:注:注:由于由于ROM芯片上没有读芯片上没有读/写控制端,所以除此之写控制端,所以除此之外位扩展方式其余引出线的接法和外位扩展方式其余引出线的接法和RAM相同;而字扩相同;而字扩展方式也同样适用于展方式也同样适用于ROM。 如果一片如果一片如果一片如果一片RAMRAM或或或或ROMROM的位数和字数都不够,就需的位数和字数都不够,就需的位数和字数都不够,就需的位数和字数都不够,

47、就需要同时采用位扩展和自扩展方法,用多片组成一个大的要同时采用位扩展和自扩展方法,用多片组成一个大的要同时采用位扩展和自扩展方法,用多片组成一个大的要同时采用位扩展和自扩展方法,用多片组成一个大的存储器系统,以满足对存储容量的要求。存储器系统,以满足对存储容量的要求。存储器系统,以满足对存储容量的要求。存储器系统,以满足对存储容量的要求。7.4.2 字扩展方式字扩展方式例例7.4.2 试用试用2564位的位的RAM,用复合扩展的方法组成用复合扩展的方法组成10248位的位的RAM。要求:要求:画出连线图;画出连线图;指出当指出当R/W =1,地址为,地址为0011001100时,哪个芯片组被选

48、通?时,哪个芯片组被选通?指出芯片组指出芯片组(0)、(1)、(2)、(3)的地址范围。的地址范围。解解 :(1)先用位扩展方式构成先用位扩展方式构成2568位的位的RAM,其连其连线图如图线图如图7.4.5所示;所示;再由字扩展方式构成再由字扩展方式构成10248位位RAM,如图如图7.4.6所示,所示,所以一共用了所以一共用了8片片2564位的位的RAM。7.4.2 字扩展方式字扩展方式用用2564的的RAM扩展为扩展为1K8位的位的RAM Y0Y1Y2Y32/4A9A8A0-A7425642564CSI/OI/OCS8425642564CSI/OI/OCS844高四位低四位(2) 当地址

49、码为当地址码为0011001100,且且R/W =1 时,时,A9A8=00,2568(1)组被选中,其他组被封锁。组被选中,其他组被封锁。(3)2568(1)的地址为的地址为(0000000000)B(0011111111)B ;2568(2)的地址为(的地址为(0100000000)B(0111111111)B ; 2568(3)的地址为(的地址为(1000000000)B(1011111111)B ; 2568(4)的地址为(的地址为(1100000000)B(1111111111)B 。7.4.2 字扩展方式字扩展方式7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数对于前面讲过

50、的二极管掩模对于前面讲过的二极管掩模ROM中,有一个数据输出中,有一个数据输出表(如下)表(如下)A0An-1W0W(2n-1)可以看出,若把地址输入可以看出,若把地址输入A1和和A0看成是两个输入变量,看成是两个输入变量,数据输出看成是一组输出变量,则数据输出看成是一组输出变量,则D3D0就是一组就是一组A1A0的组合逻辑函数。可写成:的组合逻辑函数。可写成:7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数*由于任何组合逻辑函数都可以写成最小项之和的形式,由于任何组合逻辑函数都可以写成最小项之和的形式,因此任何组合逻辑函数都可以通过向因此任何组合逻辑函数都可以通过向ROM中写入相应中

51、写入相应的数据来实现。的数据来实现。*用具有用具有n位输入地址、位输入地址、m位数据输出的位数据输出的ROM可以获得可以获得不大于不大于m个任何形式的个任何形式的n变量组合逻辑函数。这也适合变量组合逻辑函数。这也适合RAM。7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数例例7.5.1 试用试用ROM产生下列一组组合逻辑函数产生下列一组组合逻辑函数由于要实现的是由于要实现的是4个逻辑函数,且逻辑函数为个逻辑函数,且逻辑函数为4变量的,变量的,所以需要所以需要4位地址输入和位地址输入和4位数据输出,故选位数据输出,故选164的的ROM实现。实现。解:首先将所给的逻辑函数展成最小项之和的形

52、式。解:首先将所给的逻辑函数展成最小项之和的形式。7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数其其连线图如图连线图如图7.5.1所示所示7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数图图7.5.1例例7.5.2 试用试用ROM设计一个设计一个2位二进制数的比较器。设这位二进制数的比较器。设这两个两个2位数分别为位数分别为AA1A0,BB1B0。当。当AB时,时,Y3=1.解:由题意可得真值表为解:由题意可得真值表为7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数则则选用选用163的的ROM,实现电路如图实现电路如图7.5.2所示。所示。7.5 用存储器实现组合逻辑

53、函数用存储器实现组合逻辑函数例例7.5.3 试用试用84位位ROM实现一个排队组合电路,电路的实现一个排队组合电路,电路的功能是输入信号功能是输入信号A、B、C通过排队电路后分别由通过排队电路后分别由YA、YB、YC输出。但在同一时刻只能有一个信号通过,如果同时输出。但在同一时刻只能有一个信号通过,如果同时有有2个以上信号通过时,则按个以上信号通过时,则按A、B、C的优先顺序通过。的优先顺序通过。解:解:根据题根据题意可得真意可得真值表为值表为则实现的则实现的电路如图电路如图7.5.3所示。所示。7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数例例7.5.4在图在图7.5.4(a)所示

54、电路中,若所示电路中,若PROM存储矩阵地存储矩阵地编程如图编程如图7.5.4(b)所示,试画出输出电压所示,试画出输出电压uo的波形。注:的波形。注:图图7.5.3(a)的电子开关是由的电子开关是由PROM的位线电平控制,当的位线电平控制,当D1时,开关接基准电压时,开关接基准电压U R;当;当D0时,开关接地。时,开关接地。7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数解:解:由图由图7.5.4(b)得出输出数据端和地址码输入的关)得出输出数据端和地址码输入的关系表为系表为7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数由电路可得输出电压为由电路可得输出电压为7.5 用存

55、储器实现组合逻辑函数用存储器实现组合逻辑函数将将关系表的关系表的D3D0代入上式,可得对应的输出电压如下表代入上式,可得对应的输出电压如下表7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数其输出电压波形如图其输出电压波形如图7.5.5所示。所示。7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数作作 业业题题7.1 题题7.2 题题7.8 题题7.9 题题7.141.若用256*4的RAM芯片构成1024*8位的RAM,需要( )片256*4的芯片?2.若用2114(1024*4RAM芯片)构成8K*8的RAM,需要( )片2114?3.一片RAM的存储容量为32768,已知其数

56、据线是8条,该RAM有( )根地址线?4.用一片ROM实现一位数值比较器功能,可用存储容量为( )ROM5. 将512*4的RAM扩展为1024*8的RAM(画图)补充题:常见存储器规格型号类型容量SRAMEPROMEEPROMFLASHNVSRAM双口RAM2K8611627162816DS1213B7132/71364K82732DS1213B8K8626427642864DS1213B16K82712832K862256272562825628F256DS1213D64K8275122851228F512128K8628128270102801028F010DS1213D256K8628256270202802028F020512K8628512270402804028F040DS16501M86281000270802808028F080

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号