锁存器、寄存器和移位寄存器.ppt

上传人:cl****1 文档编号:568779830 上传时间:2024-07-26 格式:PPT 页数:10 大小:415KB
返回 下载 相关 举报
锁存器、寄存器和移位寄存器.ppt_第1页
第1页 / 共10页
锁存器、寄存器和移位寄存器.ppt_第2页
第2页 / 共10页
锁存器、寄存器和移位寄存器.ppt_第3页
第3页 / 共10页
锁存器、寄存器和移位寄存器.ppt_第4页
第4页 / 共10页
锁存器、寄存器和移位寄存器.ppt_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《锁存器、寄存器和移位寄存器.ppt》由会员分享,可在线阅读,更多相关《锁存器、寄存器和移位寄存器.ppt(10页珍藏版)》请在金锄头文库上搜索。

1、3.2 锁存器、寄存器和移位寄存器锁存器、寄存器和移位寄存器功功 能能 表表高阻高阻 1Qn 0 001 0011 10输输 出出G D输出控制输出控制3.2.1 锁存器锁存器74LS373 锁存器锁存器1QQD1D2QD2D3QD3D4QD4D输出控制输出控制使能使能 GQQQ锁存器:锁存器:传送和存储多位数据的逻辑构件传送和存储多位数据的逻辑构件锁存使能:锁存使能:电位控制电位控制 输出形式:输出形式:三态门控制输出三态门控制输出使用场合:使用场合:数据滞后于控制信号时数据滞后于控制信号时锁存器构成:锁存器构成:钟控钟控 D 触发器触发器功功 能能 表表高阻高阻 1Qn 0 00 001

2、10输输 出出CP D输出控制输出控制3.2.2 寄存器寄存器74LS374 寄存器寄存器1QQD1D2QD2D3QD3D4QD4D输出控制输出控制时钟时钟CPQQQ3.2.3 移位寄存器移位寄存器其连接关系满足:DiQi-1nD1D2D3D4Q1Q2Q3Q4SXCP其连接关系满足:DiQi+1nQ4Q3Q2Q1SXCPD1D2D3D4右移右移寄存器寄存器左移左移寄存器寄存器74LS299八位通用移位寄存器八位通用移位寄存器左移串入左移串入SLS0S1右移串入右移串入SR右移出右移出左移出左移出QAQH左移入左移入右移入右移入A/QAH/QH时钟时钟CPDQDQ清除清除G2G1输出控制输出控制

3、 S0S0S1S174LS299 逻辑功能表逻辑功能表SRSLG2G1S0S1hahgfedcba111置置数数10QBnQBn10QHnQHnQGnQGnQFnQFnQFnQFnQDnQDnQCnQCnQBnQBn100000001111左左移移QGnQGn10QGnQGnQFnQFnQFnQFnQDnQDnQCnQCnQBnQBnQAnQAn10100000110011右右移移QH0QH0QA0QA0QH0QH0QG0QG0QF0QF0QE0QE0QD0QD0QC0QC0QB0QB0QA0QA0000000011保保持持0000000000000000000000000000清清除除QHQ

4、AH/QHG/QGF/QFE/QED/QDC/QCB/QBA/QA串入串入时时钟钟cp输出输出控制控制功能功能选择选择清清除除输出输出输入输入输出输出输输 入入模模式式T3.8 现有一片现有一片74LS299的的8位通用移位寄存器,一片位通用移位寄存器,一片8位位74LS373 锁存器,另有一个锁存器,另有一个D触发器和一个与非门,请设计实现触发器和一个与非门,请设计实现8位位 数据的串行数据的串行并行转换器。并行转换器。 CPLockOE74LS373&74LS299M03CRXSRG1S0S1SLCRA/QAB/QBD/QDC/QCE/QEF/QFG/QGH/QHQAQHD7 D6D5D4D3D2D1D0QAQBQDQCQEQFQGQHEN1 C22GG2CDQ内容小结内容小结锁存器、寄存器锁存器、寄存器移位寄存器移位寄存器8位通用移位寄存器(位通用移位寄存器(74LS299)

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号