时序约束与时序分析

上传人:cn****1 文档编号:568738726 上传时间:2024-07-26 格式:PPT 页数:30 大小:1.67MB
返回 下载 相关 举报
时序约束与时序分析_第1页
第1页 / 共30页
时序约束与时序分析_第2页
第2页 / 共30页
时序约束与时序分析_第3页
第3页 / 共30页
时序约束与时序分析_第4页
第4页 / 共30页
时序约束与时序分析_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《时序约束与时序分析》由会员分享,可在线阅读,更多相关《时序约束与时序分析(30页珍藏版)》请在金锄头文库上搜索。

1、时序约束与时序分析时序约束与时序分析本章概要本章概要:n时序约束与时序分析基础常用时序概念QuartusII中的时序分析报告n设置时序约束全局时序约束个别时序约束设计中常用的约束设计中常用的约束n设计中常用的约束(Assignments或Constraints)主要分为3类:时序约束:主要用于规范设计的时序行为,表达设计者期望满足的时序条件,指导综合和布局布线阶段的优化算法等。区域与位置约束:主要用于指定芯片I/O引脚位置以及指导实现工具在芯片特定的物理区域进行布局布线。其他约束:泛指目标芯片型号、电气特性等约束属性。时序约束的主要作用时序约束的主要作用n提高设计的工作频率通过附加时序约束可以

2、控制逻辑的综合、映射、布局和布线,以减小逻辑和布线延时,从而提高工作频率。n获得正确的时序分析报告QuartusII的静态时序分析(STA)工具以约束作为判断时序是否满足设计要求的标准,因此要求设计者正确输入时序约束,以便STA工具能输出正确的时序分析结果。静态时序分析与动态时序仿真的区别静态时序分析与动态时序仿真的区别n动态时序仿真是针对给定的仿真输入信号波形,模拟设计在器件实际工作时的功能和延时情况,给出相应的仿真输出信号波形。它主要用于验证设计在器件实际延时情况下的逻辑功能。由动态时序仿真报告无法得到设计的各项时序性能指标,如最高时钟频率等。n静态时序分析则是通过分析每个时序路径的延时,

3、计算出设计的各项时序性能指标,如最高时钟频率、建立保持时间等,发现时序违规。它仅仅聚焦于时序性能的分析,并不涉及设计的逻辑功能,逻辑功能验证仍需通过仿真或其他手段(如形式验证等)进行。静态时序分析是最常用的分析、调试时序性能的方法和工具。QuartusII中的时序分析报告中的时序分析报告设计中常用的时序概念设计中常用的时序概念n时钟偏斜n周期与最高频率n建立时间n保持时间n时钟到输出延时n管脚到管脚延时nSlack时钟偏斜时钟偏斜n时钟偏斜:指一个同源时钟到达两个不同的寄存器时钟端的时间差别。最小时钟周期与最高时钟频率最小时钟周期与最高时钟频率n最小时钟周期:tCLK = Microtco +

4、 tLOGIC + tNET + MicrotSU tCLK_SKEWtCLK_SKEW = tCD2 tCD1n最高时钟频率:fmax = 1 / tCLK同步电路数据传输模型建立时间建立时间n建立时间:在触发器的时钟信号有效沿到来以前,数据和使能信号必须保持稳定不变的最小时间。如果建立时间不够,数据将不能在该时钟沿被正确打入触发器。tSU = Data Delay + MicrotSU Clock Delay 保持时间保持时间n保持时间:在触发器的时钟信号有效沿到来以后,数据和使能信号必须保持稳定不变的最小时间。如果保持时间不够,数据同样不能被正确打入触发器。tH = Clock Dela

5、y Data Delay + MicrotH时钟到输出延时时钟到输出延时n时钟到输出延时:从时钟信号有效沿到数据有效的时间间隔。tCO = Clock Delay + MicrotCO + Data Delay管脚到管脚延时管脚到管脚延时n管脚到管脚延时tPD:指信号从输入管脚进来,穿过纯组合逻辑,到达输出管脚的延迟。由于CPLD的布线矩阵长度固定,所以常用最大管脚到管脚延时标准CPLD的速度等级。SlacknSlack用于表示设计是否满足时序:正的Slack表示满足时序(时序裕量),负的Slack表示不满足时序(时序的欠缺量)。nslack = - Slack: setup slacknSe

6、tup Slack = Slack Clock Period (Microtco + Data Delay + MicrotSU )Slack: hold slacknHold Slack = Microtco + Data DelayMicrotH(BA)本章概要本章概要:n时序约束与时序分析基础常用时序概念QuartusII中的时序分析报告n设置时序约束全局时序约束个别时序约束全局时序约束与个别时序约束全局时序约束与个别时序约束n全局时序约束即指定工程范围内通用的全局性时序约束。n个别时序约束即对特殊的结点、路径、分组、模块指定个别性的时序约束。n个别时序约束的优先级高于全局时序约束。nQ

7、uartusII中常用的设置时序约束的途径:【Assigments】/【Timing Settings】【Assigments】/【Wizards】/【Timing Wizard】【Assigments】/【Assigment Editor】指定全局时序约束指定全局时序约束n时序驱动的编译n全局时钟设置n全局的I/O时序设置n时序分析和报告选项n时序向导时序驱动的编译时序驱动的编译全局时钟设置全局时钟设置全局的全局的I/O时序设置时序设置时序分析和报告选项时序分析和报告选项时序分析和报告选项时序分析和报告选项时序向导时序向导指定个别时序约束指定个别时序约束n指定个别时钟要求n个别时序约束输入最大最小延时输出最大最小延时反相时钟非时钟tCO要求(最大、最小)、 tSU要求、 tH要求、 tPD要求剪除时序路径n个别时序约束的对象单点点到点通配符时序组指定个别时钟要求指定个别时钟要求n时钟分类:独立时钟衍生时钟指定个别时钟要求指定个别时钟要求n独立时钟之间是非相关时钟,而独立时钟和其衍生时钟之间是相关时钟,缺省情况下,QuartusII不分析非相关时钟之间的路径。n指定独立时钟要求时,须显式指定该时钟的Fmax和占空比。n指定衍生时钟要求时,只需指定衍生时钟相对于产生该衍生时钟的独立时钟的相位差、分频或倍频比等参数。指定个别时钟要求指定个别时钟要求指定个别时钟要求指定个别时钟要求

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号