二章节QuartusII软件应用

上传人:re****.1 文档编号:568574433 上传时间:2024-07-25 格式:PPT 页数:26 大小:139KB
返回 下载 相关 举报
二章节QuartusII软件应用_第1页
第1页 / 共26页
二章节QuartusII软件应用_第2页
第2页 / 共26页
二章节QuartusII软件应用_第3页
第3页 / 共26页
二章节QuartusII软件应用_第4页
第4页 / 共26页
二章节QuartusII软件应用_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《二章节QuartusII软件应用》由会员分享,可在线阅读,更多相关《二章节QuartusII软件应用(26页珍藏版)》请在金锄头文库上搜索。

1、狱姜骗绥蔼进川巷烘打扦吓腔趾些熬蝶昨搬醚献究开伐苏骡搓匡氟暮啡睁二章节QuartusII软件应用二章节QuartusII软件应用第二讲 QuartusII软件应用教学课时:教学课时:3学时学时教学内容:教学内容:1、Quartus软件的原理图和文本输入演示软件的原理图和文本输入演示(1学时)学时)2、 Quartus软件的文本输入演示(软件的文本输入演示(1学时)学时)3、实例演示(、实例演示(1学时)学时) 森嘛年汤姨睁活酝探枉番孪漾畅阿挥须凄繁冤枫铬纳坞憾怔授谎庶霸粟斤二章节QuartusII软件应用二章节QuartusII软件应用一、概述Quartus软件是美国Altera公司的第四代E

2、DA开发软件。它提供了一个一种与结构无关的设计环境,非常适应具体的设计需要。Quartus软件提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持逻辑门数在百万门以上的逻辑器件的开发非诚焊挥哭臀灾啄芳嘘晨页磨暗睹孔磕泰焦蘑掌啤屿泉鬃酒显务捧状恭荆二章节QuartusII软件应用二章节QuartusII软件应用Quartus软件支持的器件有:Stratix、StratixGX、Stratix、Mercury、MAX3000A、MAX7000B、MAX7000S、MAX7000AE、MAX、FLEX6000、FLEX10K、LEX10KA、FLEX10KE、Cyclone、Cyclo

3、ne、PEX、APEX20KC、APEX20KE和ACEX1K系列。函从阴谗戊燕悟笺瘤拐座项锐攀航象庇湾帅龚攀三泰尺羌侠秧焙净轧聚赏二章节QuartusII软件应用二章节QuartusII软件应用启动Quartus软件时出现的图形用户界面如图2-1所示。Quartus软件的图形用户界面分为几个大的区域,即:工程导航区、信息区、工作区、快捷工具条和菜单命令区。柄啥地澳十霸眷匆误引氖钡耐酒蹈枉席骤榴毗磺酸形又杜刮芜重陨瓦岗盖二章节QuartusII软件应用二章节QuartusII软件应用扩蔚崖出津太瘟溃旗硅备冬访选刁芜泛轰歪皖痪吊逐阎般橙刻勘折巴抹翁二章节QuartusII软件应用二章节Quart

4、usII软件应用工程导航区工程导航区显示了当前工程的绝大部分重要信息,使用户对当前工程的文件层次结构、所有相关文档以及设计单元有一个很清晰的认识。工程导航区由三个部分构成。常障斥霍睬裸锤堵呕浊下讳萤为使嫉裴轩岳美莱近班册逻斩沉舒痛叁猿鬼二章节QuartusII软件应用二章节QuartusII软件应用1、Hierarchy设计实体的层次结构,它清楚地显示了顶层实体和各调用实体的层次关系。掣度恋链限柏熬痰皑升僵创唉枫拖来钟隅霓垢瑰潦绩腿门逐品谩蝶琅版陆二章节QuartusII软件应用二章节QuartusII软件应用2、Files显示所有与当前工程相关联的文件,这些文件被归类在两个文件夹中:Devi

5、ce Design Files和OtherFiles。其中,Device DesignFiles中的文件是能够使工程成功编译或仿真所需要的最基本的文件。OtherFiles中放的是辅助文件。鬼忍聋堂涯钨枢峪奸噶闹慑彬稍柜瓜涵沸潞仔粟妆敏舅参哺默相遏乐蒋逮二章节QuartusII软件应用二章节QuartusII软件应用3、DesignUnits当前工程中的使用的所有设计单元。这些单元既包含Quartus软件中自带的设计模块(如乘法器、移位寄存器等),也包含用户自己设计的单元模块。豆揭媚楼厢述扑汞谋妈宵汁窖阶仪芬谩倍蓬肘埃吗买翠晒帛寺副淮符斌纺二章节QuartusII软件应用二章节QuartusI

6、I软件应用信息区信息区用于显示系统在编译和仿真过程中所产生的指示信息。例如,语法信息、成功信息等。信息区提供七大类操作标记信息:ExtraInfo、Info、Warning、CriticalWarning以及Error等。卯琳愧柔戈丸沫送累召兢循向樟省虱穴揪猎架擅睁人石拟迫重笔技卧彻贝二章节QuartusII软件应用二章节QuartusII软件应用工作区工作区是用户对输入文件进行设计的空间区域。在工作区中,Quartus软件将显示设计文件和工具条以方便用户操作。蹿允厦别冀正厨帕鸽喧练犯宾隘翔颇芥晓糯刊输崔丧侥吻郊俩昔拎框倔舱二章节QuartusII软件应用二章节QuartusII软件应用快捷命

7、令工具条快捷命令工具条是由若干个按钮组成的,单击按钮,可快速执行相应的操作。椭事狄小类诲涤叁欺磕凉崭瞩岂醒详试渊傀狗壤议肖昔财兼绵滴善扛助孵二章节QuartusII软件应用二章节QuartusII软件应用第三步:编译 对设计文件进行分析检错、综合、适配等,并产生多种输出文件,如定时分析文件、器件编程文件(*.sof,*.pof)、各种报告文件等。第四步:仿真(功能与时序仿真)第五步:引脚分配(指定具体的引脚号码)第六步:器件编程(用*.sof或*.pof文件对器件进行编程配置)渠湾缉亏迫蔗茂抿竟逼找感钵桶逆搭闽漫蓉横护卢誊决勤寥漱害戏矢径李二章节QuartusII软件应用二章节QuartusI

8、I软件应用二、Quartus原理图输入设计利用原理图输入设计的优点是设计者不必具备许多诸如编程技术、硬件语言等较高级的知识就能快速入门,完成超大规模的电路系统设计。在Quartus软件平台上,使用图形编辑输入法设计电路的操作流程,包括:原理图编辑设计、编译、仿真和编程下载等基本过程。用Quartus图形编辑方式生成的图形文件的扩展名为.gdf或.bdf。酉沧梢戎刊烯堪柳滇先袱积廊窿三晦迭咏直河襄纠预乘质春堕损俯兜弛智二章节QuartusII软件应用二章节QuartusII软件应用利用Quartus软件设计数字系统之前,应该先建立一个文件夹,Quartus软件中任何一项设计都是一项工程,在工程设

9、计过程中,会产生许多仅扩展名不同的同名文件,放在同一个文件夹下,便于统一管理。出于同样的原因,不同的设计项目最好放在不同的文件夹中。椰杖划蛀凉赏塌衬件北印媚护呆挨虏嚣玛赤俄卯氧档陡瓣萤顷篷烧捣报峙二章节QuartusII软件应用二章节QuartusII软件应用创建一个工程例1:用QuartusII 原理图输入设计方式设计半加器第一步:创建一个工程(1)启动QuartusII开发软件(2)选择File/New Project Wizard,建立一个文件夹halfadder1,工程名为halfadder1,顶层文件为halfadder1,之后照提示进行操作,直至工程创建完成。工程文件的后缀名是:工

10、程文件的后缀名是:*.qpf鲜随眉惠械随敦功玉叶得取磊涤砒锨诽粹那武酶郝酬摔姬静镐谷砚俭电呆二章节QuartusII软件应用二章节QuartusII软件应用第二步:编辑设计文件(1)打开图形编辑器对话框(2)单元符号输入(3)建立完整的原理图设计文件(4)保存设计文件原理图文件的后缀名是:原理图文件的后缀名是:*.bdf粱陪糜磐朝汪捶攒垣柑曝竿膏绎臭歧的皂哈抨鹏触桌钾厢证泡拣波庙算砍二章节QuartusII软件应用二章节QuartusII软件应用第三步:编译第四步:仿真第五步:引脚分配第六步:器件编程具体见操作过程长习打胸嘿场颂巴初枪删访骗苔洞矫墒沽激枕腕烈唐跺栅坊燕昏亚躯惰昆二章节Quart

11、usII软件应用二章节QuartusII软件应用文本输入设计例2:用QuartusII 文本输入设计方式设计半加器.第一步:创建一个工程(1)启动QuartusII开发软件(2)选择File/New Project Wizard,建立一个文件夹hadder2,工程名为hadder2,顶层设计实体名为hadder2,之后照提示进行操作,直至工程创建完成。极坟滔洪琢呆波猩填酋会缎善该裕涧赊胸灵俯距两用般练乓恃跑轴战霍腰二章节QuartusII软件应用二章节QuartusII软件应用文本输入法第2步第二步:编辑设计文件(1)点击File-New,在弹出的对话框里选VHDL File,生成一个新的VH

12、DL文件(2)程序输入(3)保存设计文件VHDL文件的后缀名是:文件的后缀名是:*.vhdlibrary ieee;use ieee.std_logic_1164.all;entity hadder2 isport(A,B:in std_logic; S,Co:out std_logic);end adder2;architecture a1 of hadder2 isbeginS=A xor B;CoNetlist Viewers-RTL Viewer,就可查看RTL图形文件。抬想氰峨层刘痈挡嫌睡坐倪抓裹伎剩麓脉地沤默畏妥矫转饯批啼偏幸挪缅二章节QuartusII软件应用二章节Quartus

13、II软件应用文本输入法第4步第四步:仿真(1)建立波形文件,方法是:点击File-New-Other Files-Vector Waveform File。(2)加入节点,方法是:点击Edit-Insert Node or Bus-Node Finder,在弹出的对话框里的Filter下拉选择Pins:all,点按钮List,再选择你想操作的节点加入右边的框内,之后点击OK。(3)编辑输入波形。逼泪戏辈芭沈购掌恬撞踪醚面兢晓峡桑防遁歧伯隘捡没啡朽富腿增乏誉馈二章节QuartusII软件应用二章节QuartusII软件应用编辑输入波形(1)设置波形的时间区域,点击Edit-End time。(2

14、)设置时间间隔,点击Edit-Grid Size(3)利用波形输入工具,编辑激励波形。(4)保存波形文件,波形文件的后缀名是:*.vmf瓤复迟恭欢雕瓤杠酣衣藩绰魂栏卵咬廷讫珊纷锋葵凋胸苏享郸行夫约俘辱二章节QuartusII软件应用二章节QuartusII软件应用功能仿真(1)设置仿真为功能仿真,点击Assignments-Settings-Simulator Settings,在Simulation mode中选Functional,在Simulation input中选上一步中建好的波形文件后,点击OK。(2)产生功能仿真网表文件,点击Processing-Generate Functio

15、nal Simulation Netlist,生成网表文件(3)仿真,点击Processing-Start Simulation,进行仿真。观察仿真后生成的仿真报告,若有错误,修改VHDL程序,照上述操作继续直到成功。晶地隙剥踊短摊干诸契挺者伐祥觉莱篡迁偷刑齐振勇悉饰矫厘本堤垮练牲二章节QuartusII软件应用二章节QuartusII软件应用练习题1试用原理图设计方法,用2片74138译码器构成4线-16线译码器电路,并仿真验证设计结果。恒径皋顶株溺肄拾座船唉劈段啮笼数缕湖兵募迎渐队硬蜕庄山背杜冲陡塞二章节QuartusII软件应用二章节QuartusII软件应用练习题2用原理图方法设计一个2线-4线译码器,并仿真验证设计结果。匆嘉苦单骂贵臀雄染丁淄蒋晶修译帝拿折蛊效践隧伯箩扑答弛舟矾淳彝粪二章节QuartusII软件应用二章节QuartusII软件应用

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号