最新实习QuartusII9.0使用讲解PPT课件

上传人:工**** 文档编号:568317940 上传时间:2024-07-24 格式:PPT 页数:42 大小:1.35MB
返回 下载 相关 举报
最新实习QuartusII9.0使用讲解PPT课件_第1页
第1页 / 共42页
最新实习QuartusII9.0使用讲解PPT课件_第2页
第2页 / 共42页
最新实习QuartusII9.0使用讲解PPT课件_第3页
第3页 / 共42页
最新实习QuartusII9.0使用讲解PPT课件_第4页
第4页 / 共42页
最新实习QuartusII9.0使用讲解PPT课件_第5页
第5页 / 共42页
点击查看更多>>
资源描述

《最新实习QuartusII9.0使用讲解PPT课件》由会员分享,可在线阅读,更多相关《最新实习QuartusII9.0使用讲解PPT课件(42页珍藏版)》请在金锄头文库上搜索。

1、实习实习QuartusII9.0QuartusII9.0使用讲解使用讲解3.1 Quartus 9.0 软件的安装软件的安装 (详见光盘(详见光盘2 quartus9.0sp2安装视频)安装视频)n把把Quartus9.0Quartus9.0安装光盘放入计算机的光驱中,在自动出现安装光盘放入计算机的光驱中,在自动出现的光盘安装目录中选择安装的光盘安装目录中选择安装QuartusQuartus软件和软件和Megacore IP Megacore IP librarylibrary两项,安装光盘将自动引导完成软件的安装;两项,安装光盘将自动引导完成软件的安装;n软件安装完成之后,在软件中指定软件安

2、装完成之后,在软件中指定AlteraAltera公司的授权文件公司的授权文件(License.datLicense.dat),才能正常使用),才能正常使用 。n授权文件可以在授权文件可以在AlteraAltera的网页上的网页上http:/http:/申申请或者购买获得。请或者购买获得。n安装安装AlteraAltera的硬件驱动程序。驱动程序存放在的硬件驱动程序。驱动程序存放在QuartusQuartus安安装目录下的装目录下的quartusdriversquartusdrivers文件夹中。驱动安装后才能文件夹中。驱动安装后才能将设计结果通过计算机的通信接口编程下载到目标芯片中。将设计结果

3、通过计算机的通信接口编程下载到目标芯片中。 由于Quartus II 只对项目进行编译,模拟,编程而是不对单独的文件进行,所以要进行设计第一步就是建工程。 对于一个设计,创建一个单独的目录,该目录的路径从根目录开始都必须是英文名称,任何一级目录都不能出现中文字样,且不能包含空格,否则在读文件时会发生错误。需要注意地方:需要注意地方:3.3.1 如何建项目工程如何建项目工程在对话框中单击在对话框中单击File name 右侧的右侧的“ ”按钮,可以将与工程相关的按钮,可以将与工程相关的所有所有verilog文件(如果有的话)加文件(如果有的话)加入进此工程,入进此工程,此工程文件加入的方此工程文

4、件加入的方法有两种:法有两种:第第1 种方法是单击种方法是单击“Add ”按钮,从工程目录中选按钮,从工程目录中选出相关的出相关的verilog文件;文件;第第2 种方法种方法是单击是单击Add All 按钮,将设定的工按钮,将设定的工程目录中的所有程目录中的所有verilog文件加入到文件加入到工程文件栏中。工程文件栏中。如果还没有建立如果还没有建立verilog文件,文件,就直接点击就直接点击“Next”即可即可。将本项目所需文件包含进来窗口将本项目所需文件包含进来窗口3.3.1 如何建项目工程如何建项目工程为本项目指定目标器件(芯片)为本项目指定目标器件(芯片)1.1.选择器件系列选择器

5、件系列2.2.选择选择AutoAuto或具体器件或具体器件3.3.点击点击NextNext选择封装选择封装选择引脚数选择引脚数速度等级速度等级3.3.1 如何建项目工程如何建项目工程指定所需的第三方指定所需的第三方EDAEDA工具工具用户可以选择所用到的用户可以选择所用到的第三方工具,比如第三方工具,比如ModleSimModleSim、SynplifySynplify等。等。在本例中并没有调用第在本例中并没有调用第三方工具,可以都不选。三方工具,可以都不选。点击点击NextNext或或FinishFinish3.3.1 如何建项目工程如何建项目工程(1)(1)输入设计文件有以下几种:输入设计

6、文件有以下几种:3.3.2 如何输入设计文件如何输入设计文件 1 1)新建工程之后,便可)新建工程之后,便可)新建工程之后,便可)新建工程之后,便可以进行电路系统设计文件的以进行电路系统设计文件的以进行电路系统设计文件的以进行电路系统设计文件的输入。选择输入。选择输入。选择输入。选择filefile菜单中的菜单中的菜单中的菜单中的NewNew命令,弹出如图所示的新建命令,弹出如图所示的新建命令,弹出如图所示的新建命令,弹出如图所示的新建设计文件类型选择窗口。设计文件类型选择窗口。设计文件类型选择窗口。设计文件类型选择窗口。产产生一个新的图表模块生一个新的图表模块/原理图原理图文件文件菜单菜单:

7、 File New Block/Schematic document(2)(2)、输入设计文件原理图输入法、输入设计文件原理图输入法3.3.2 如何输入设计文件如何输入设计文件 2)选择)选择New对话框对话框中的中的Device Design files页下的页下的Block Diagram/Schematic File,点击,点击OK,打开,打开如图所示的图形编辑器如图所示的图形编辑器对话框,进行设计文件对话框,进行设计文件输入。输入。(2)(2)、输入设计文件原理图输入法、输入设计文件原理图输入法3.3.2 如何输入设计文件如何输入设计文件 3)在图形编辑窗)在图形编辑窗口中的任何一个位

8、置双口中的任何一个位置双击鼠标,击鼠标,或点击图中的或点击图中的“符符号号工具工具”按钮按钮,或或选择菜选择菜单单Edit下的下的Insert Symbol命令,命令,弹出如弹出如右右图所示的图所示的元件元件选择窗口选择窗口Symbol 对话对话框。框。(2)(2)、输入设计文件原理图输入法、输入设计文件原理图输入法3.3.2 如何输入设计文件如何输入设计文件 4 4)用用用用鼠鼠鼠鼠标标标标点点点点击击击击单单单单元元元元库库库库前前前前面面面面的的的的加加加加号号号号(+)(+),库库库库中中中中的的的的元元元元件件件件符符符符号号号号以以以以列列列列表表表表的的的的方方方方式式式式显显显

9、显示示示示出出出出来来来来,选选选选择择择择所所所所需需需需要要要要的的的的元元元元件件件件符符符符号号号号,该该该该符符符符号号号号显显显显示示示示在在在在SymbolSymbol对对对对话话话话框框框框的的的的右右右右边边边边,点点点点击击击击OKOK按按按按钮钮钮钮,添添添添加加加加相相相相应应应应元元元元件件件件符符符符号号号号在在在在图图图图像像像像编编编编辑辑辑辑工工工工作作作作区区区区中中中中,连连连连接接接接原原原原理理理理图图图图。注注注注意意意意:信信信信号号号号线线线线标标标标号号号号(选选选选中中中中该该该该线线线线,点点点点击击击击右右右右键键键键,选选选选择择择择P

10、ropertiesProperties并并并并输输输输入入入入标标标标号号号号名即可名即可名即可名即可)与总线标号名要一致。)与总线标号名要一致。)与总线标号名要一致。)与总线标号名要一致。十进制同步计数器的原理图如下:十进制同步计数器的原理图如下:十进制同步计数器的原理图如下:十进制同步计数器的原理图如下: (2)(2)、输入设计文件原理图输入法、输入设计文件原理图输入法3.3.2 如何输入设计文件如何输入设计文件十进制同步加法计数器的仿真图如下:十进制同步加法计数器的仿真图如下:十进制同步加法计数器的仿真图如下:十进制同步加法计数器的仿真图如下:3.3.2 如何输入设计文件如何输入设计文件

11、优点:优点: 1 1)可以与传统的数字电路设计法接轨,即使用传统设计方法得到)可以与传统的数字电路设计法接轨,即使用传统设计方法得到电路原理图,然后在电路原理图,然后在QuartusQuartus平台完成设计电路的输入、仿真验证和平台完成设计电路的输入、仿真验证和综合,最后下载到目标芯片中。综合,最后下载到目标芯片中。 2 2) 它将传统的电路设计过程的布局布线、绘制印刷电路板、电路它将传统的电路设计过程的布局布线、绘制印刷电路板、电路焊接、电路加电测试等过程取消,提高了设计效率,降低了设计成本,焊接、电路加电测试等过程取消,提高了设计效率,降低了设计成本,减轻了设计者的劳动强度。减轻了设计者

12、的劳动强度。缺点:缺点: 1 1)原理图设计方法没有实现标准化,不同的)原理图设计方法没有实现标准化,不同的EDAEDA软件中的图形处理软件中的图形处理工具对图形的设计规则、存档格式和图形编译方式都不同,因此兼容性工具对图形的设计规则、存档格式和图形编译方式都不同,因此兼容性差,难以交换和管理。差,难以交换和管理。 2 2)由于兼容性不好,性能优秀的电路模块的移植和再利用非常困)由于兼容性不好,性能优秀的电路模块的移植和再利用非常困难难以实现用户所希望的面积、速度以及不同风格的综合优化难难以实现用户所希望的面积、速度以及不同风格的综合优化 3 3)原理图输入的设计方法不能实现真实意义上的自顶向

13、下的设计)原理图输入的设计方法不能实现真实意义上的自顶向下的设计方案,无法建立行为模型,从而偏离了电子设计自动化最本质的涵义。方案,无法建立行为模型,从而偏离了电子设计自动化最本质的涵义。原理图输入法优缺点:原理图输入法优缺点:3.3.2 如何输入设计文件如何输入设计文件nQuartusQuartus编译器的主要任务是对设计项目进行检查并完成逻辑综编译器的主要任务是对设计项目进行检查并完成逻辑综合,同时将项目最终设计结果生成器件的下载文件。编译开始前,合,同时将项目最终设计结果生成器件的下载文件。编译开始前,可以先对工程的参数进行设置。可以先对工程的参数进行设置。nQuartusQuartus

14、软件中的编译类型有全编译和分步编译两种。软件中的编译类型有全编译和分步编译两种。n选择选择QuartusQuartus主窗口主窗口ProcessProcess菜单下菜单下Start CompilationStart Compilation命令,或命令,或者在主窗口者在主窗口的工具栏的工具栏上直接点击图标上直接点击图标 可以进行全编译;可以进行全编译; n 全全编译的过程包括分析与综合编译的过程包括分析与综合(Analysis & SynthesisAnalysis & Synthesis)、适配、适配(FitterFitter)、编程、编程(AssemblerAssembler)、时序分析、时

15、序分析(Classical Timing (Classical Timing Analysis)Analysis)这这4 4个环节个环节,而这,而这4 4个环节各自对应相应的菜单命令,可个环节各自对应相应的菜单命令,可以单独分步执行,也就是分步编译以单独分步执行,也就是分步编译。 3.3.3 如何编译设计文件如何编译设计文件 分步编译就是使用对应命令分步执行对应的编译环节,每完成分步编译就是使用对应命令分步执行对应的编译环节,每完成一个编译环节,生成一个对应的编译报告。分步编译跟全编译一样一个编译环节,生成一个对应的编译报告。分步编译跟全编译一样分为四步:分为四步: 1 1、分析与综合分析与综

16、合(Analysis & SynthesisAnalysis & Synthesis) :设计文件进行分:设计文件进行分析和检查输入文件是否有错误,对应的菜单命令是析和检查输入文件是否有错误,对应的菜单命令是QuartusQuartus主窗口主窗口ProcessProcess菜单下菜单下StartStartStart Analysis & SynthesisStart Analysis & Synthesis,对应的快捷图对应的快捷图标是标是在主窗口在主窗口的工具栏的工具栏上上的的 ; 2 2、适配(、适配(FitterFitter):在适配过程中,完成设计逻辑器件中的布):在适配过程中,完成

17、设计逻辑器件中的布局布线、选择适当的内部互连路径、引脚分配、逻辑元件分配等,局布线、选择适当的内部互连路径、引脚分配、逻辑元件分配等,对应的菜单命令是对应的菜单命令是QuartusQuartus主窗口主窗口ProcessProcess菜单下菜单下StartStartStart Start FitterFitter;(注:两种编译方式引脚分配有所区别;(注:两种编译方式引脚分配有所区别 ) 3.3.3 如何编译设计文件如何编译设计文件 3 3、编程编程(AssemblerAssembler):):产生多种形式的器件编程映像文件产生多种形式的器件编程映像文件 ,通过软件下载到目标器件当中去,菜单命

18、令是通过软件下载到目标器件当中去,菜单命令是QuartusQuartus主窗口主窗口ProcessProcess菜单下菜单下StartStartStart AssemblerStart Assembler; 4 4、时序分析时序分析(Classical Timing Analyzer)(Classical Timing Analyzer):计算给定设计与:计算给定设计与器件上的延时,完成设计分析的时序分析和所有逻辑的性能分析,器件上的延时,完成设计分析的时序分析和所有逻辑的性能分析,菜单命令是菜单命令是QuartusQuartus主窗口主窗口ProcessProcess菜单下菜单下StartS

19、tartStart Classical Start Classical Timing Analyzer Timing Analyzer ,对应的快捷图标是,对应的快捷图标是在主窗口在主窗口的工具栏的工具栏上上的的 。 编译完成后,编译报告窗口编译完成后,编译报告窗口Compilation ReportCompilation Report会报告工程文会报告工程文件编译的相关信息,如编译的顶层文件名、目标芯片的信号、引脚件编译的相关信息,如编译的顶层文件名、目标芯片的信号、引脚的数目等。的数目等。 全编译操作简单,适合简单的设计。对于复杂的设计,选择分步编全编译操作简单,适合简单的设计。对于复杂的

20、设计,选择分步编译可以及时发现问题,提高设计纠错的效率,从而提高设计效率。译可以及时发现问题,提高设计纠错的效率,从而提高设计效率。3.3.3 如何编译设计文件如何编译设计文件n 仿真的目的就是在软件环境下,验证电路的行为和设想仿真的目的就是在软件环境下,验证电路的行为和设想中的是否一致。中的是否一致。n FPGA/CPLDFPGA/CPLD中的仿真分为功能仿真和时序仿真。功能仿真中的仿真分为功能仿真和时序仿真。功能仿真着重考察电路在理想环境下的行为和设计构想的一致性,着重考察电路在理想环境下的行为和设计构想的一致性,时序仿真则在电路已经映射到特定的工艺环境后,考察器时序仿真则在电路已经映射到

21、特定的工艺环境后,考察器件在延时情况下对布局布线网表文件进行的一种仿真。件在延时情况下对布局布线网表文件进行的一种仿真。n仿真一般需要建立波形文件、输入信号节点、编辑输入信仿真一般需要建立波形文件、输入信号节点、编辑输入信号、波形文件的保存和运行仿真器等过程。号、波形文件的保存和运行仿真器等过程。3.3.4 如何建立仿真设计文件如何建立仿真设计文件1 1、建立波形文件建立波形文件 波形文件用来为设计产生输入激励信号。波形文件用来为设计产生输入激励信号。利用利用QuartusIIQuartusII波形编辑器可以创建矢量波形文件波形编辑器可以创建矢量波形文件(.vwf) (.vwf) n创建一个新

22、的矢量波形文件创建一个新的矢量波形文件步骤如下:步骤如下: (1 1)选择)选择QuartusQuartus主界面主界面FileFile菜单下的菜单下的NewNew命令,命令,弹出新弹出新建对话框建对话框; (2 2)在新)在新建话框中选择建话框中选择Verification/Debugging FilesVerification/Debugging Files文文件下的件下的Vector Waveform FileVector Waveform File,点击点击OKOK按钮按钮,则打开一个空的则打开一个空的波形编辑器窗口波形编辑器窗口,主要分为信号栏、工具栏和波形栏,主要分为信号栏、工具栏

23、和波形栏 。3.3.4 如何建立仿真设计文件如何建立仿真设计文件1 1、建立波形文件、建立波形文件 3.3.4 如何建立仿真设计文件如何建立仿真设计文件波形编辑器波形编辑器窗口窗口2 2、输入信号节点、输入信号节点 (1 1) 在在波波形形编编辑辑方方式式下下,执执行行EditEdit菜菜单单中中的的Insert Insert Node Node or or BusBus命命令令,或或者者在在波波形形编编辑辑器器左左边边NameName列列的的空空白白处处点点击击鼠鼠标标右右键键,弹弹出出的的Insert Insert Nodeor Nodeor BusBus对对话话框框,或或者者在在波波形形

24、编编辑辑器器左左边边NameName列列的的空空白白处处双双击击左左键键,弹弹出出的的Insert Insert Nodeor BusNodeor Bus对话框。对话框。 3.3.4 如何建立仿真设计文件如何建立仿真设计文件输出进制选择输出进制选择2 2、输入信号节点、输入信号节点(2 2)点点击击Insert Insert Nodeor Nodeor BusBus对对话话框框中中的的Node Node FinderFinder按按钮钮,弹弹出出Node FinderNode Finder窗口,在此窗口中添加信号节点。窗口,在此窗口中添加信号节点。3.3.4 如何建立仿真设计文件如何建立仿真设

25、计文件第一步第一步找到设计文件找到设计文件第二步第二步点击引脚列表点击引脚列表第三步第三步选中仿真引脚选中仿真引脚添加一个选拔中信号添加一个选拔中信号添加全部信号添加全部信号删除一个选中信号删除一个选中信号删除已添加的全部信号删除已添加的全部信号 3 3、编辑输入信号、编辑输入信号 编辑输入信号是指在波形编辑器中指定输入节点的逻辑电编辑输入信号是指在波形编辑器中指定输入节点的逻辑电平变化,编辑输入节点的波形。平变化,编辑输入节点的波形。 在仿真编辑窗口的工具栏中列出了各种功能选择按钮,主要在仿真编辑窗口的工具栏中列出了各种功能选择按钮,主要用于绘制、编辑波形,给输入信号赋值。用于绘制、编辑波形

26、,给输入信号赋值。 具体功能如下具体功能如下: : :在波形文件中添加注释;:在波形文件中添加注释; :修改信号的波形值,把选定区域的波形更改成原值的相反值;:修改信号的波形值,把选定区域的波形更改成原值的相反值; :全屏显示波形文件;:全屏显示波形文件; :放大、缩小波形;:放大、缩小波形; :在波形文件信号栏中查找信号名,可以快捷找到待观察信号;:在波形文件信号栏中查找信号名,可以快捷找到待观察信号; :将某个波形替换为另一个波形;:将某个波形替换为另一个波形; 3.3.4 如何建立仿真设计文件如何建立仿真设计文件 :给选定信号赋原值的反值;:给选定信号赋原值的反值; :输入任意固定的值;

27、:输入任意固定的值; :输入随机值:输入随机值 :U U给选定的信号赋值,给选定的信号赋值,X X表示不定态,表示不定态,0 0表示赋表示赋0,10,1表示赋表示赋1 1,Z Z表示高阻态,表示高阻态,W W表示弱信号,表示弱信号,L L表示低电平,表示低电平,H H表示高电平,表示高电平,DCDC表示不赋值。表示不赋值。 : :设置时钟信号的波形参数,先选中需要赋值的信号,然后鼠设置时钟信号的波形参数,先选中需要赋值的信号,然后鼠标右键点击此图标弹出标右键点击此图标弹出Clock对话框,在此对话框中可以设置输入对话框,在此对话框中可以设置输入时钟信号的起始时间(时钟信号的起始时间(Start

28、 Time)、结束时间()、结束时间(End Time)、时)、时钟脉冲周期(钟脉冲周期(Period),相位偏置(),相位偏置(Offset)以及占空比。)以及占空比。 3.3.4 如何建立仿真设计文件如何建立仿真设计文件:给给信信号号赋赋计计数数值值 ,先先选选中中需需要要赋赋值值的的信信号号,然然后后鼠鼠标标右右键键点点击此图标弹出如下图所示的击此图标弹出如下图所示的Count ValueCount Value对话框,然后赋值。对话框,然后赋值。Count Value对话框的对话框的Counting页页Count Value对话框的对话框的Timing页页3.3.4 如何建立仿真设计文件

29、如何建立仿真设计文件4 4、仿真波形文件、仿真波形文件 Quartus Quartus软件中默认的是时序仿真,如果进行功能仿软件中默认的是时序仿真,如果进行功能仿真则需要先对仿真进行设置,步骤如下:真则需要先对仿真进行设置,步骤如下: (1 1)选择选择QuartusQuartus主窗口主窗口AssignmentsAssignments菜单下的菜单下的SettingsSettings命令,可以进入参数设置页面,如下图所示,命令,可以进入参数设置页面,如下图所示,然后单击然后单击Simulation Settings Simulation Settings ,在右边的对话框中的,在右边的对话框中

30、的Simulation modeSimulation mode中选择中选择“Function”Function”; 3.3.4 如何建立仿真设计文件如何建立仿真设计文件4 4、仿真波形文件、仿真波形文件 (2 2)选择选择QuartusQuartus主窗口主窗口ProcessingProcessing菜单下的菜单下的Generate Functional Simulation NetlistGenerate Functional Simulation Netlist命令,生成功命令,生成功能仿真网表文件;能仿真网表文件; (3 3)选择选择QuartusQuartus主窗口主窗口Process

31、ingProcessing菜单下的菜单下的Start Start SimulationSimulation进行功能仿真。进行功能仿真。 功能仿真满足要求后,还要对设计进行时序仿真,时序仿真功能仿真满足要求后,还要对设计进行时序仿真,时序仿真可以在编译后直接进行,但是要将上图中的可以在编译后直接进行,但是要将上图中的Simulation Simulation modemode中设置为中设置为”Timing”Timing”,设置好以后直接选择,设置好以后直接选择Start Start SimulationSimulation命令,执行时序仿真。命令,执行时序仿真。3.3.4 如何建立仿真设计文件如

32、何建立仿真设计文件3.3.5 如何引脚锁定如何引脚锁定引脚锁定是为了对所设引脚锁定是为了对所设计的工程进行硬件测试,计的工程进行硬件测试,将输入将输入/ /输出信号锁定在输出信号锁定在器件的某些引脚上。单器件的某些引脚上。单击击“Assigments”Assigments”菜单菜单下的下的“Pins”Pins”命令,在命令,在弹出的对话框如右图所弹出的对话框如右图所示,在下方的列表中列示,在下方的列表中列出了本项目所有的输入出了本项目所有的输入/ /输出引脚名。输出引脚名。3.3.5 如何引脚锁定如何引脚锁定引脚锁定是为了对所设计的工程进行硬件测试,将输入引脚锁定是为了对所设计的工程进行硬件测

33、试,将输入/ /输出信号锁定输出信号锁定在器件的某些引脚上。单击在器件的某些引脚上。单击“Assigments”Assigments”菜单下的菜单下的“Pins”Pins”命令,命令,在弹出的对话框如下图所示,在下方的列表中列出了本项目所有的输在弹出的对话框如下图所示,在下方的列表中列出了本项目所有的输入入/ /输出引脚名。输出引脚名。3.3.5 如何引脚锁定如何引脚锁定在上页图中,双击与输入端在上页图中,双击与输入端“clk”clk”对应的对应的“Location”Location”选项后弹出选项后弹出引脚列表,从中选择合适的引脚,则输入引脚列表,从中选择合适的引脚,则输入“clk”clk”

34、的引脚锁定完毕的引脚锁定完毕(开发板上对应(开发板上对应PIN_23PIN_23)。同理完成其它引脚的锁定,如下图所示。)。同理完成其它引脚的锁定,如下图所示。n对设计进行验证后,即可对目标器件进行编程和配置,对设计进行验证后,即可对目标器件进行编程和配置,下载设计文件到硬件中进行硬件验证。下载设计文件到硬件中进行硬件验证。n QuartusQuartus编程器编程器ProgrammerProgrammer最常用的编程模式是最常用的编程模式是JTAGJTAG模式和主动串行编程模式模式和主动串行编程模式ASAS。n JTAGJTAG模式主要用在调试阶段,主动串行编程模式用于模式主要用在调试阶段,

35、主动串行编程模式用于板级调试无误后将用户程序固化在串行配置芯片板级调试无误后将用户程序固化在串行配置芯片EPCSEPCS中。中。 3.3.6 如何编程下载设计文件如何编程下载设计文件1 1、JTAGJTAG编程下载模式编程下载模式 此方式的操作步骤主要分为此方式的操作步骤主要分为3 3步:步:n选选择择QuartusQuartus主主窗窗口口的的ToolsTools菜菜单单下下的的ProgrammerProgrammer命命令令或或点点击击 图图标标,进进入入器器件件编编程程和和配配置置对对话话框框。如如果果此此对对话话框框中中的的Hardware Hardware SetupSetup后为后

36、为 “No No Hardware”Hardware”,则则需需要要选选择择编编程程的的硬硬件件。点点击击Hardware Hardware SetupSetup,进入进入Hardware SetupHardware Setup对话框,下页图所示,在此添加硬件设备。对话框,下页图所示,在此添加硬件设备。n配配置置编编程程硬硬件件后后,选选择择下下载载模模式式,在在ModeMode中中指指定定的的编编程程模模式式为为JTAGJTAG模模式;式;n确确定定编编程程模模式式后后,单单击击 添添加加相相应应的的counter.sofcounter.sof编编程程文文件件,选选 中中 counter.s

37、ofcounter.sof文文 件件 后后 的的 Program/ConfigureProgram/Configure选选 项项 , 然然 后后 点点 击击 图图标标下下载载设设计计文文件件到到器器件件中中,ProcessProcess进进度度条条中中显显示示编编程程进进度度,编编程程下载完成后就可以进行目标芯片的硬件验证了。下载完成后就可以进行目标芯片的硬件验证了。3.3.6 如何编程下载设计文件如何编程下载设计文件3.3.6 如何编程下载设计文件如何编程下载设计文件1 1、JTAGJTAG编程下载模式编程下载模式选择硬件选择硬件选择下载模式选择下载模式下载文件下载文件删除文件删除文件删除文

38、件删除文件添加目标芯片添加目标芯片选中此方框选中此方框 ASAS主主动动串串行行编编程程式式的的操操作作步步骤骤如如下:下: (1 1)选选择择QuartusQuartus主主 窗窗口口AssignmentsAssignments菜菜单单下下DeviceDevice命命令,进入令,进入 SettingsSettings对对 话话 框框的的 DeviceDevice页页面面进进行行设设置置,见见下下页图所示。页图所示。 (2 2)选选择择QuartusQuartus主主 窗窗口口 的的 ToolsTools菜菜 单单下下的的ProgrammerProgrammer命命令令或或点点击击图图标标 ,

39、进进入入器器件件编编程程和和配配置置对对话话框框,添添加加硬硬件件,选选择择编编 程程 模模 式式 为为Active Active Serial Serial ProgramProgram; (3 3)单单击击 添添 加加 相相 应应 的的counter.pofcounter.pof编编程程文文件件,选选中中文文件件后后的的Program/ConfigProgram/Configureure、 VerifyVerify和和Blank Blank CheckCheck项项,单击图标单击图标 下下载载设设计计文文件件到到器器件件中中,ProcessProcess进进 度度 条条中中显显示示编编程程

40、进进度度。下下载载完完成成后后程程序序固固化化在在EPCSEPCS中中,开开 发发 板板 上上 电电 后后EPCSEPCS将将自自动动完完成成对对目目标标芯芯片片的的配配置置,无无须须再再从从计计算机上下载程序。算机上下载程序。 2 2、ASAS主动串行编程模式主动串行编程模式3.3.6 如何编程下载设计文件如何编程下载设计文件3.3.6 如何编程下载设计文件如何编程下载设计文件选择硬件选择硬件选择下载模式选择下载模式下载文件下载文件删除文件删除文件删除文件删除文件添加目标芯片添加目标芯片选中这些方框选中这些方框2 2、ASAS主动串行编程模式主动串行编程模式n按照一般编程逻辑设计的步骤,利用

41、按照一般编程逻辑设计的步骤,利用Quartus9.0Quartus9.0软件进行软件进行开发主要包含以下几个步骤:开发主要包含以下几个步骤: (1 1)建项目工程;)建项目工程; (2 2)输入设计文件(编写)输入设计文件(编写verilogverilog程序或原理图文件等)程序或原理图文件等)【使用使用Text EditorText Editor】; (3 3)编译设计文件)编译设计文件【使用使用CompilerCompiler】; (4 4)仿真设计文件)仿真设计文件【使用使用Waveform ditor,SimulatorWaveform ditor,Simulator】; (5 5)安排芯片管脚位置)安排芯片管脚位置【使用使用FloorplanEditorFloorplanEditor】; (6 6)编程下载设计文件至目标芯片)编程下载设计文件至目标芯片【使用使用ProgrammerProgrammer】 。 本章小结本章小结

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号