03计算机的逻辑部件02

上传人:m**** 文档编号:567950564 上传时间:2024-07-22 格式:PPT 页数:63 大小:1.29MB
返回 下载 相关 举报
03计算机的逻辑部件02_第1页
第1页 / 共63页
03计算机的逻辑部件02_第2页
第2页 / 共63页
03计算机的逻辑部件02_第3页
第3页 / 共63页
03计算机的逻辑部件02_第4页
第4页 / 共63页
03计算机的逻辑部件02_第5页
第5页 / 共63页
点击查看更多>>
资源描述

《03计算机的逻辑部件02》由会员分享,可在线阅读,更多相关《03计算机的逻辑部件02(63页珍藏版)》请在金锄头文库上搜索。

1、1-611、晶体三极管与反相电路、晶体三极管与反相电路2、逻辑运算与数字逻辑电路、逻辑运算与数字逻辑电路3、通过逻辑功能设计逻辑电路、通过逻辑功能设计逻辑电路 3.1、实际问题、实际问题 - 真值表真值表 3.2、真值表、真值表 - 逻辑表达式逻辑表达式 3.3、逻辑表达式、逻辑表达式 - 化简得:最简表达式化简得:最简表达式 3.4、最简表达式、最简表达式 - 逻辑电路图逻辑电路图4、三态门电路、三态门电路Review: 计算机的逻辑部件预备知识计算机的逻辑部件预备知识问题问题1:电路为什么能实现逻辑功能呢?:电路为什么能实现逻辑功能呢? 逻辑逻辑电路电路 逻辑表达式逻辑表达式 最简表达式最

2、简表达式 真值表真值表 逻辑功能逻辑功能 公式公式 化简化简输入信号与输出信号之间的关系。输入信号与输出信号之间的关系。2-61系系统统总总线线存储器存储器 运算器运算器 控制器控制器 接口与通信接口与通信 输入输入/输出设备输出设备林楠林楠办公室:办公室:211办公电话:办公电话:9电子邮件:电子邮件: 计算机组成原理计算机组成原理 计算机的逻辑部件计算机的逻辑部件(补充:数字电路(补充:数字电路 书上书上6.5 算术逻辑单元)算术逻辑单元)3-61 计算机的逻辑部件计算机的逻辑部件1、组合逻辑电路(没有记忆功能)、组合逻辑电路(没有记忆功能) 1.1、加法器、加法器 1.2、算术逻辑单元、

3、算术逻辑单元 1.3、编码器、译码器、编码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具有记忆功能)、时序逻辑电路(具有记忆功能) 2.1、触发器、触发器 2.2、寄存器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路)、阵列逻辑电路(集成电路)问题问题2:电路为什么能算题呢?:电路为什么能算题呢?4-61组合逻辑电路组合逻辑电路的输出状态只取决于当前输入信号的状态,与过去输的输出状态只取决于当前输入信号的状态,与过去输入信号的状态无关,即入信号的状态无关,即电路没有记忆功能电路没有记忆功能。例如:例如:加法器、加法器、ALU、编码器、译码器、编码器、译码器、 数据选

4、择器等电路。数据选择器等电路。 计算机的逻辑部件计算机的逻辑部件组合逻辑电路组合逻辑电路计算机中常用的逻辑部件包括两大类:计算机中常用的逻辑部件包括两大类:时序逻辑电路时序逻辑电路的输出状态不仅和当时输入信号的状态有关,还与的输出状态不仅和当时输入信号的状态有关,还与以前输入信号的状态有关,即以前输入信号的状态有关,即电路具有记忆功能电路具有记忆功能。最基本的记忆电路是最基本的记忆电路是触发器触发器。(电平触发器,边沿触发器等)。(电平触发器,边沿触发器等)由基本的触发器可以构成寄存器,计数器等部件。由基本的触发器可以构成寄存器,计数器等部件。时序逻辑电路时序逻辑电路从逻辑部件的从逻辑部件的集

5、成度集成度和和功能情况功能情况区分,组合或时序电路又可分为:区分,组合或时序电路又可分为:低集成度电路:低集成度电路:晶体管数量比较少,只提供专用功能的器件;晶体管数量比较少,只提供专用功能的器件;高集成度电路:高集成度电路:晶体管数量比较多,功能更强、现场可编程器件。晶体管数量比较多,功能更强、现场可编程器件。重点重点5-61 计算机的逻辑部件计算机的逻辑部件1、组合逻辑电路(没有记忆功能)、组合逻辑电路(没有记忆功能) 1.1、加法器、加法器 1.2、算术逻辑单元、算术逻辑单元 1.3、编码器、译码器、编码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具有记忆功能)、时序逻辑

6、电路(具有记忆功能) 2.1、触发器、触发器 2.2、寄存器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路)、阵列逻辑电路(集成电路)6-61组合逻辑电路组合逻辑电路: : 任一时刻的输出信号只取决于该时刻各输入信号的组任一时刻的输出信号只取决于该时刻各输入信号的组 合,而与过去的输入信号无关。合,而与过去的输入信号无关。它由基本它由基本门电路门电路组合而成,电路中组合而成,电路中没有记忆没有记忆单元,单元,没有反馈没有反馈电路。电路。每一个输出变量是全部每一个输出变量是全部或部分输入变量的函数:或部分输入变量的函数:L L1 1= f= f1 1(A A1 1、A A2 2、A

7、Ai i)L L2 2= f= f2 2(A A1 1、A A2 2、A Ai i) L Lj j= f= fj j(A A1 1、A A2 2、A Ai i) 组合组合逻辑逻辑电路电路A1A2AiL1L2Lj 计算机的逻辑部件计算机的逻辑部件组合逻辑电路组合逻辑电路7-61 计算机的逻辑部件计算机的逻辑部件1、组合逻辑电路(没有记忆功能)、组合逻辑电路(没有记忆功能) 1.1、加法器、加法器 1.2、算术逻辑单元、算术逻辑单元 1.3、编码器、译码器、编码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具有记忆功能)、时序逻辑电路(具有记忆功能) 2.1、触发器、触发器 2.2、

8、寄存器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路)、阵列逻辑电路(集成电路)8-61加法器加法器是计算机中是计算机中最常用、最基本的组合逻辑电路最常用、最基本的组合逻辑电路。功能:功能:主要完成两个数值的主要完成两个数值的补码加法补码加法运算。运算。 减法:减法:计算机中没有专门用于减法的电路计算机中没有专门用于减法的电路, 因为补码减法可使用加法器实现。因为补码减法可使用加法器实现。 例如:例如:A 减减 B 等于等于 A 加加 B 的的 反反 乘除法:乘除法:可以可以利用加法器,利用加法器,通过多次循环迭代完成,通过多次循环迭代完成, 或使用专门的乘除法电路实现。或使用专门

9、的乘除法电路实现。1.1、加法器、加法器 12 7 5 12+ 3 15 128 40 88 128+ 60 188以以10为模为模以以100为模为模9-61半加器半加器不考虑进位输入时,两个数不考虑进位输入时,两个数Xn,Yn相加称为半加。相加称为半加。1.1、加法器、加法器逻辑表达式:逻辑表达式:Hn=XnYn+XnYn = Xn Yn 半加器可用半加器可用反相门反相门及及与或非门与或非门来实现,也可用来实现,也可用异或门异或门来实现。来实现。 011010001+100100011 1两输入一输出两输入一输出半加器的真值表及逻辑电路图半加器的真值表及逻辑电路图10-611.1、加法器、加

10、法器一位全加器:一位全加器:三个输入:三个输入:本位两个二进制数本位两个二进制数 Xn,Yn + 低一位进位信号低一位进位信号 C n-1;两个输出:两个输出:本位和本位和 Fn ,往高一位进位信号,往高一位进位信号 Cn。进位信号进位信号111 011010001+100100011 0111-611.1、加法器、加法器Fn=XnYnCn-1+XnYnCn-1+XnYnCn-1+XnYnCn-1Cn=XnYnCn-1+XnYnCn-1+XnYnCn-1+XnYnCn-1Fn = Xn Yn Cn-1全加器的真值表及逻辑电路图全加器的真值表及逻辑电路图一位全加器一位全加器三输入两输出三输入两输

11、出重点重点12-611.1、加法器、加法器将将n个个一位全加器一位全加器相连可得相连可得n位加法器,位加法器,完成对多位数的相加运算。完成对多位数的相加运算。各数据位之间的各数据位之间的进位信号是串行传送进位信号是串行传送的,被称为的,被称为串行进位串行进位。本位本位全加和全加和Fi 必须等必须等低位进位低位进位Ci-1 来到后才能进行;来到后才能进行;因此,当加法器的位数较多时,会使加法运算的速度大大降低。因此,当加法器的位数较多时,会使加法运算的速度大大降低。13-611.1、加法器、加法器超前进位加法器(超前进位加法器(当前计算机中使用的当前计算机中使用的)从加快进位信号的传送速度考虑,

12、可以实现多位的从加快进位信号的传送速度考虑,可以实现多位的并行进位并行进位。即各位之间几乎同时产生送到高位的进位信号。即各位之间几乎同时产生送到高位的进位信号。采用采用“超前进位产生电路超前进位产生电路”来同时形成各进位,从而实现快速加法。来同时形成各进位,从而实现快速加法。只要同时输入只要同时输入X1X4,Y1Y4和和C0,几乎同时输出,几乎同时输出C14和和F1F4。 重点重点14-61超前进位产生电路超前进位产生电路只要满足下述两条件中任一个,就可形成只要满足下述两条件中任一个,就可形成进位进位C1: 1)X1=1 Y1=1 2)( X1=1 或或 Y1=1) 且且 C0=1 C1=X1

13、Y1 + (X1+Y1)C0只要满足下述条件中任一个即可形成只要满足下述条件中任一个即可形成进位进位C2: 1) X2=1 Y2=1 2)(X2=1 或或 Y2=1) 且(且( X1=1 Y1=1) 3)(X2=1 或或 Y2=1)且()且( X1=1 或或 Y1=1 )C0=1。 C2=X2Y2+(X2+Y2)X1Y1+(X2+Y2)(X1+Y1)C0C3=X3Y3+(X3+Y3)X2Y2+(X3+Y3)(X2+Y2)X1Y1+(X3+Y3)(X2+Y2)(X1+Y1)C0C4=X4Y4+(X4+Y4)X3Y3+(X4+Y4)(X3+Y3)X2Y2+(X4+Y4)(X3+Y3)(X2+Y2)

14、X1Y1 +(X4+Y4)(X3+Y3)(X2+Y2)(X1+Y1)C01.1、加法器、加法器15-61 假设假设 Pi=Xi+Yi Gi=XiYi 代入代入C1C4 公式公式,便可得:,便可得:C1=G1+P1C0C2=G2+P2G1+P2P1C0C3=G3+P3G2+P3P2G1+P3P2P1C0C4=G4+P4G3+P4P3G2+P4P3P2G1+P4P3P2P1C01.1、加法器、加法器超前进位产生电路超前进位产生电路Pi=Xi+YiGi=XiYi16-611.1、加法器、加法器超前进位产生电路超前进位产生电路Pi=Xi+YiGi=XiYi17-611、组合逻辑电路(没有记忆功能)、组

15、合逻辑电路(没有记忆功能) 1.1、加法器、加法器 1.2、算术逻辑单元、算术逻辑单元 1.3、编码器、译码器、编码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具有记忆功能)、时序逻辑电路(具有记忆功能) 2.1、触发器、触发器 2.2、寄存器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路)、阵列逻辑电路(集成电路) 计算机的逻辑部件计算机的逻辑部件18-61计算机不仅要完成对数值数据的计算机不仅要完成对数值数据的算术运算功能算术运算功能(加、减、乘、除),(加、减、乘、除),还要完成对逻辑数据的还要完成对逻辑数据的逻辑运算功能逻辑运算功能(与、或、非运算等)。(与

16、、或、非运算等)。我们把实现我们把实现算术运算功能算术运算功能和和逻辑运算功能逻辑运算功能的的电路合并电路合并到一起,到一起,用用同一套电路同一套电路实现,就是实现,就是算术逻辑单元(算术逻辑单元(简称简称ALU)算术逻辑单元算术逻辑单元是一种功能较强的组合逻辑电路;是一种功能较强的组合逻辑电路; 用与、或、非等门电路实现。用与、或、非等门电路实现。算术逻辑单元算术逻辑单元的基本逻辑结构:的基本逻辑结构:超前进位加法器超前进位加法器。 (通过改变加法器的(通过改变加法器的Gi和和Pi来获得多种运算能力。)来获得多种运算能力。)多位多位ALU不仅产生算术逻辑运算的结果,还给出结果的特征情况。不仅

17、产生算术逻辑运算的结果,还给出结果的特征情况。例如:例如:算术运算是否产生了向更高位的算术运算是否产生了向更高位的进位进位,结果是否为,结果是否为零零, 结果的符号为结果的符号为正正还是为还是为负负,是否,是否溢出溢出等。等。 逻辑运算通常只检查结果是否为零,不存在进位和溢出等问题。逻辑运算通常只检查结果是否为零,不存在进位和溢出等问题。1.2、算术逻辑单元、算术逻辑单元重点重点19-61四位四位ALU逻辑电路图逻辑电路图M是状态控制端,是状态控制端,M=1,执行逻辑运算执行逻辑运算M=0,执行算术运算执行算术运算F3F0是运算结果是运算结果S0S3是运算选择控制端,是运算选择控制端,决定电路

18、执行哪种算术运算决定电路执行哪种算术运算或哪种逻辑运算。或哪种逻辑运算。Cn是是ALU的最低位进位输入的最低位进位输入A3A0,B3B0是是参加运算的两个数参加运算的两个数20-61A B(AB)减减1AB1110A减减BA减减B减减1A B0110(AB)加加(A+B)加加1(AB)加加(A+B)B1010A加加(AB)加加1A加加(AB)AB0010“0”减减1“0”1100(A+B)加加1A+BAB0100(A+B)加加1A+BA+B1000A+1AA0000Cn=0Cn=1M=0 算算术运算运算M=1逻辑运算运算正正 逻 辑S0S1S2S31.2、算术逻辑单元、算术逻辑单元M是状态控制

19、端,是状态控制端,M=1,执行逻辑运算执行逻辑运算M=0,执行算术运算执行算术运算S0S3是运算选择控制端,是运算选择控制端,决定电路执行哪种算术运算决定电路执行哪种算术运算或哪种逻辑运算。或哪种逻辑运算。Cn是是ALU的最低位进位输入的最低位进位输入A3A0,B3B0是是参加运算的两个数参加运算的两个数21-61用用四片四片4位位ALU电路可组成电路可组成一片一片16位位ALU。(。(1110 1101 0101 0001)片内进位是并行快速的,但片间进位是串行慢速的,计算时间长。片内进位是并行快速的,但片间进位是串行慢速的,计算时间长。1.2、算术逻辑单元、算术逻辑单元把把16位位ALU中

20、的每四位作为一组,用类似四位超前进位加法器中的每四位作为一组,用类似四位超前进位加法器 “位间快速进位位间快速进位” 的方法来实现的方法来实现16位位ALU的的 “组间快速进位组间快速进位” 。16位快速位快速ALU22-611、组合逻辑电路(没有记忆功能)、组合逻辑电路(没有记忆功能) 1.1、加法器、加法器 1.2、算术逻辑单元、算术逻辑单元 1.3、编码器、译码器、编码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具有记忆功能)、时序逻辑电路(具有记忆功能) 2.1、触发器、触发器 2.2、寄存器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路)、阵列逻辑电路(集

21、成电路) 计算机的逻辑部件计算机的逻辑部件23-61编码器电路编码器电路将特定含义的将特定含义的输入信号输入信号(文字(文字/数字数字/符号)符号)转换转换成成二进制二进制代码。代码。即:实现把即:实现把2n个输入变量编码成个输入变量编码成N个输出信号的功能。个输出信号的功能。主要功能:主要功能:处理输入变量之间的处理输入变量之间的优先级关系优先级关系。例如:例如:在多个中断请求源信号到来时,在多个中断请求源信号到来时,可以借助编码器电路给出优先可以借助编码器电路给出优先级最高的中断请求源所对应的级最高的中断请求源所对应的优先级编码。优先级编码。常见的编码器:常见的编码器:8 线线 3线(线(

22、8输入输入 3输出),输出),16线线 4线(线(16输入输入 4输出)输出)1.3、编码器、编码器编编码码器器A1A2AiL1L2Lj多输入多输入 多输出多输出24-611)普通编码器:)普通编码器:任何时刻只允许一个输入有效。任何时刻只允许一个输入有效。1.3、编码器、编码器8 线线 3线(线(8输入输入 3输出)输出)25-611.3、编码器、编码器逻辑公式化简逻辑公式化简26-612)优先编码器:)优先编码器: 允许几个信号同时输入,只对其中优先级最高的输入进行编码,允许几个信号同时输入,只对其中优先级最高的输入进行编码, 不理睬级别低的输入;输出就是该输入对应的二进制代码值。不理睬级

23、别低的输入;输出就是该输入对应的二进制代码值。例如:例如:值班室有三台电话,值班室有三台电话, 按由优先级高低排序依次是:火警电话,急救电按由优先级高低排序依次是:火警电话,急救电话,工作电话,电话编码依次为话,工作电话,电话编码依次为00、01、10。试设计电话编码控制电路。试设计电话编码控制电路。题解:题解:同一时间只能处理一部电话同一时间只能处理一部电话;假如用假如用A、B、C分别代表分别代表火警、火警、 急救、工作三种电话;急救、工作三种电话;设电话铃响为设电话铃响为1,没响为,没响为0;当优先级别高的信号有效时,当优先级别高的信号有效时,低级别的不起作用,用低级别的不起作用,用表示;

24、表示;用用Y1, Y2表示输出编码。表示输出编码。1.3、编码器、编码器 输输 入入输输 出出A B CY1 Y21 0 1 0 0 10 00 11 0例如:例如:常用的计算机常用的计算机键盘键盘,其内部就是一个,其内部就是一个字符编码器字符编码器。P21427-61译码器:译码器:实现对实现对n个输入变量,给出个输入变量,给出2n个(或少于个(或少于2n个)输出信号的功能,个)输出信号的功能,每个输出信号对应每个输出信号对应n个输入变量的一个个输入变量的一个最小项最小项。是否需要译码,通常可以用一或几个是否需要译码,通常可以用一或几个控制信号控制信号E 加以控制。加以控制。主要用途:主要用

25、途:区分区分N个输入变量的组合状态个输入变量的组合状态。 从多个从多个互斥信号中选择其一互斥信号中选择其一。 1.3、译码器、译码器译译码码器器A1A2AiL1L2Lj多输入多输出多输入多输出28-61显示译码器显示译码器1.3、译码器、译码器29-611.3、译码器、译码器3-8译码器:译码器:3 个输入、个输入、8 个输出个输出重点重点30-61 计算机的逻辑部件计算机的逻辑部件1、组合逻辑电路(没有记忆功能)、组合逻辑电路(没有记忆功能) 1.1、加法器、加法器 1.2、算术逻辑单元、算术逻辑单元 1.3、编码器、译码器、编码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具

26、有记忆功能)、时序逻辑电路(具有记忆功能) 2.1、触发器、触发器 2.2、寄存器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路)、阵列逻辑电路(集成电路)31-61数据选择器数据选择器数据选择器又称数据选择器又称多路开关多路开关(多个输入多个输入,一个输出一个输出)功能功能: 在选择信号的作用下,从多个输入信号中选择一个输出。在选择信号的作用下,从多个输入信号中选择一个输出。例如:例如:从多个寄存器中取出某一个寄存器的内容送从多个寄存器中取出某一个寄存器的内容送ALU。1.4、数据选择器数据选择器 四输入四输入多路选择器多路选择器S1S0 选选择择控控制制端端F 四输入四输入多路

27、选择器多路选择器 四输入四输入多路选择器多路选择器 四输入四输入多路选择器多路选择器 四输入四输入多路选择器多路选择器D1D2D0D332-611.4、数据选择器、数据选择器双通道四选一数据选择器双通道四选一数据选择器S0,S1:通道选择信号:通道选择信号D0D3:输入数据输入数据E:使能端使能端输出输出Y数据选择器通过数据选择器通过“与或与或”门门或或“与或非与或非”门实现电路。门实现电路。输出输出Y33-61 计算机的逻辑部件计算机的逻辑部件1、组合逻辑电路(没有记忆功能)、组合逻辑电路(没有记忆功能) 1.1、加法器、加法器 1.2、算术逻辑单元、算术逻辑单元 1.3、编码器、译码器、编

28、码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具有记忆功能)、时序逻辑电路(具有记忆功能) 2.1、触发器、触发器 2.2、寄存器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路)、阵列逻辑电路(集成电路)34-61时序逻辑电路:时序逻辑电路:逻辑电路的输出状态不但和当前的输入状态有关,而且还与以前的输逻辑电路的输出状态不但和当前的输入状态有关,而且还与以前的输入状态有关。入状态有关。因此,时序逻辑电路必须具备因此,时序逻辑电路必须具备存储存储电路,即要包含电路,即要包含具有记忆功能具有记忆功能的电的电子器件子器件触发器触发器。触发器触发器是时序电路内存储数据的记忆元

29、件,是时序电路内存储数据的记忆元件,构成时序电路基础。构成时序电路基础。触发器触发器和和一些控制门一些控制门可以组成可以组成寄存器寄存器、暂存器暂存器、移位寄存器移位寄存器、计数器计数器等基本的时序逻辑电路。等基本的时序逻辑电路。 2、时序逻辑电路、时序逻辑电路35-61 计算机的逻辑部件计算机的逻辑部件1、组合逻辑电路(没有记忆功能)、组合逻辑电路(没有记忆功能) 1.1、加法器、加法器 1.2、算术逻辑单元、算术逻辑单元 1.3、编码器、译码器、编码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具有记忆功能)、时序逻辑电路(具有记忆功能) 2.1、触发器、触发器 2.2、寄存

30、器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路)、阵列逻辑电路(集成电路)36-61基本基本 R S R S 触发器:有两个相互交叉耦合的或非门组成(与非门也可以)。触发器:有两个相互交叉耦合的或非门组成(与非门也可以)。输出有两种可能的状态:输出有两种可能的状态:0、1;输出状态不只与现时的输入状态有关,还与原来的输入状态有关。输出状态不只与现时的输入状态有关,还与原来的输入状态有关。反馈反馈两个输入端两个输入端& &或非或非& &或非或非两个输出端两个输出端逻辑符号逻辑符号R SR SQ QQ Q以以Q Q的状态,作为触发器的状态。的状态,作为触发器的状态。2.1、触发器、触

31、发器重点重点37-61若原状态:若原状态:输出保持:输出保持:0Q=101&或非或非&或非或非S R 输入:输入:110Q=001&或非或非&或非或非S R 若原状态:若原状态:输出改变:输出改变:输入:输入:2.1、触发器、触发器基本基本 R S R S 触发器触发器00置置Q=038-61若原状态:若原状态:输出保持:输出保持:0Q=110&或非或非&或非或非S R 输入:输入:001Q=010&或非或非&或非或非S R 若原状态:若原状态:输出改变:输出改变:输入:输入:2.1、触发器、触发器基本基本 R S R S 触发器触发器01置置Q=139-61若原状态:若原状态:输出保持:输出

32、保持:0Q=100&或非或非&或非或非S R 输入:输入:110Q=000&或非或非&或非或非S R 若原状态:若原状态:输出改变:输出改变:输入:输入:2.1、触发器、触发器基本基本 R S R S 触发器触发器01当当RS同时给出高电平时,同时给出高电平时,触发器保持原来的数据不变。触发器保持原来的数据不变。记忆记忆40-61基本基本RSRS触发器的真值表触发器的真值表2.1、触发器、触发器基本基本 R S R S 触发器触发器R S清清0置置1 Q 0 0 保持原状态保持原状态 0 1 0 1 1 0 1 0 1 1 信号错误!信号错误!Q 端端 输出不确定。输出不确定。 置置Q=1存存

33、Q=1置置Q=0存存Q=0记忆记忆41-612.1、触发器、触发器触发器分类:触发器分类:触发方式分类触发方式分类:电位触发、边沿触发、主从触发等方式。:电位触发、边沿触发、主从触发等方式。按功能分类按功能分类:有:有R-S型、型、D型、型、J-K型等功能。型等功能。同一功能触发器可以由不同触发方式来实现。同一功能触发器可以由不同触发方式来实现。选用触发器时,选用触发器时,触发方式触发方式是必须考虑的因素。是必须考虑的因素。触发方式?触发方式?42-611)、电位触发器()、电位触发器(电位触发方式电位触发方式) 同步控制信号同步控制信号E为为1时,输出时,输出Q = 输入输入D; 同步控制信

34、号同步控制信号E为为0时,触发器状态保持不变。时,触发器状态保持不变。R S 不能同时为低电平,而且不能同时为低电平,而且R S D 在触发器写入期间应该保持不变,在触发器写入期间应该保持不变,否则产生操作错误。否则产生操作错误。2.1、触发器、触发器电位触发器:电位触发器:结构简单。用来组成结构简单。用来组成暂存器、锁存器暂存器、锁存器 基本触发器基本触发器43-612.1、触发器、触发器2)、边沿触发器()、边沿触发器(边沿触发方式边沿触发方式)由三个基本触发器构成。由三个基本触发器构成。时钟脉冲时钟脉冲CP为约定跳变(正跳变为约定跳变(正跳变 或或 负跳变)时,触发器输入数据。负跳变)时

35、,触发器输入数据。时钟脉冲时钟脉冲CP=1、CP=0,或非约定跳变时,或非约定跳变时,不接收数据。不接收数据。D触发器触发器:正边沿触发器正边沿触发器。 输入信号输入信号D在触发脉冲在触发脉冲CP的正跳边沿期间被写入触发器,的正跳边沿期间被写入触发器, 其它时间其它时间D的数据变化和干扰不会被接收。的数据变化和干扰不会被接收。 有很强的抗数据端干扰的能力;有很强的抗数据端干扰的能力; 常被用来组成常被用来组成寄存器,计数器寄存器,计数器和和移位寄存器移位寄存器等。等。重点重点44-61D:要写入的数据:要写入的数据CP:脉冲:脉冲/SD(清(清0操作)操作)/RD(置(置1操作)操作)由三个基

36、本触发器构成由三个基本触发器构成45-613)、主)、主-从触发器从触发器 (主(主-从触发方式)从触发方式) 由两个电位触发器级联而成,由两个电位触发器级联而成, 主触发器接收输入数据,从触发器接收主触发器输出的信号。主触发器接收输入数据,从触发器接收主触发器输出的信号。 主要功能:主要功能:计数功能,常用于组成计数功能,常用于组成计数器计数器。2.1、触发器、触发器主主-从从J-K触发器图触发器图 46-61 计算机的逻辑部件计算机的逻辑部件1、组合逻辑电路(没有记忆功能)、组合逻辑电路(没有记忆功能) 1.1、加法器、加法器 1.2、算术逻辑单元、算术逻辑单元 1.3、编码器、译码器、编

37、码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具有记忆功能)、时序逻辑电路(具有记忆功能) 2.1、触发器、触发器 2.2、寄存器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路)、阵列逻辑电路(集成电路)47-61寄存器:寄存器:用于暂时存放一条指令和一个数据;用于暂时存放一条指令和一个数据;一个寄存器由若干个触发器构成,通常由多个并行操作的一个寄存器由若干个触发器构成,通常由多个并行操作的D触发器触发器或或锁存器锁存器组成。组成。一个寄存器所使用的触发器数被称为一个寄存器所使用的触发器数被称为寄存器的位数寄存器的位数。例如:例如:4位、位、8位、位、16位、位、3

38、2位等寄存器。位等寄存器。可通过控制信号可以控制寄存器是否可接收输入信号;可通过控制信号可以控制寄存器是否可接收输入信号;可通过控制信号控制输出是正常逻辑电平还是高阻态;可通过控制信号控制输出是正常逻辑电平还是高阻态;可通过控制信号实现可通过控制信号实现清清0等功能等功能。移位寄存器移位寄存器还多了还多了左右移位左右移位操作的功能。操作的功能。2.2、寄存器、寄存器重点重点48-612.2、寄存器、寄存器四个正沿触发的四个正沿触发的D触发器触发器 - 4位寄存器位寄存器001100001149-61移位寄存器:移位寄存器:具有左移、右移、具有左移、右移、并行输入及保持的功能。并行输入及保持的功

39、能。主从主从R-S触发器组成的触发器组成的双向双向4位移位寄存器位移位寄存器101050-61 计算机的逻辑部件计算机的逻辑部件1、组合逻辑电路(没有记忆功能)、组合逻辑电路(没有记忆功能) 1.1、加法器、加法器 1.2、算术逻辑单元、算术逻辑单元 1.3、编码器、译码器、编码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具有记忆功能)、时序逻辑电路(具有记忆功能) 2.1、触发器、触发器 2.2、寄存器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路)、阵列逻辑电路(集成电路)51-61计数器:计数器:是计算机数字仪表中常用的电路,是计算机数字仪表中常用的电路,按时

40、钟作用方式分为两大类:按时钟作用方式分为两大类:同步和异步同步和异步异步计数器:异步计数器:高位触发器的时钟信号是由低一位触发器的输出来提供的;高位触发器的时钟信号是由低一位触发器的输出来提供的;结构简单结构简单。同步计数器:同步计数器:计数器计数器 中各触发器的时钟信号是由同一脉冲来提供的。中各触发器的时钟信号是由同一脉冲来提供的。线路复杂、性能好线路复杂、性能好,用于脉冲分频和需要计数的场合。,用于脉冲分频和需要计数的场合。 计数器按计数顺序来分有两大类:计数器按计数顺序来分有两大类:二进制二进制、十进制十进制。2.3、计数器、计数器52-61主从主从J-K触发器触发器构成的构成的同步十进

41、制集成化计数器同步十进制集成化计数器53-61组合、时序逻辑电路(小结)组合、时序逻辑电路(小结)时序逻辑电路时序逻辑电路组合逻辑电路组合逻辑电路加法器、加法器、算术逻辑单元算术逻辑单元编码器编码器、译码器译码器、数据选择器数据选择器。常用逻辑器件常用逻辑器件 无记忆功能无记忆功能触发器触发器,暂存器暂存器寄存器寄存器移位寄存器移位寄存器计数器计数器 有记忆功能有记忆功能重点掌握重点掌握:加法器、算术逻辑单元、译码器、触发器、寄存器:加法器、算术逻辑单元、译码器、触发器、寄存器54-61计算机的逻辑部件计算机的逻辑部件1、组合逻辑电路(没有记忆功能)、组合逻辑电路(没有记忆功能) 1.1、加法

42、器、加法器 1.2、算术逻辑单元、算术逻辑单元 1.3、编码器、译码器、编码器、译码器 1.4、数据选择器、数据选择器2、时序逻辑电路(具有记忆功能)、时序逻辑电路(具有记忆功能) 2.1、触发器、触发器 2.2、寄存器、寄存器 2.3、计数器、计数器3、阵列逻辑电路(集成电路、阵列逻辑电路(集成电路-组合或时序)组合或时序)55-61集成电路集成电路可被分为两大类:可被分为两大类:标准集成电路、用户定制电路标准集成电路、用户定制电路。标准集成电路标准集成电路:由半导体制造厂设计和制造、供用户任意选购的集:由半导体制造厂设计和制造、供用户任意选购的集 成电路。成电路。逻辑功能由制造厂家定死的标

43、准芯片,用逻辑功能由制造厂家定死的标准芯片,用 户只能使用而不能更改。户只能使用而不能更改。 如:如:门、数据选择器、译码器、触发器、寄存器、门、数据选择器、译码器、触发器、寄存器、 计数器等中小规模标准芯片。计数器等中小规模标准芯片。专用集成电路专用集成电路(ASICASIC)把一个系统集成在一个芯片上,此芯片将不把一个系统集成在一个芯片上,此芯片将不 再具有通用性,而成为一种特殊的用途,用户可向再具有通用性,而成为一种特殊的用途,用户可向 制造厂专门定做某种电路。制造厂专门定做某种电路。集成电路集成电路标准集成电路标准集成电路专用集成电路专用集成电路(用户可定制)(用户可定制)3、阵列逻辑

44、电路、阵列逻辑电路56-61专用集成电路(用户定制电路)专用集成电路(用户定制电路)两种形式:两种形式:全定制电路全定制电路、半定制电路半定制电路。全定制电路全定制电路:制造厂直接按照用户提出的特定要求设计和生产的器件。:制造厂直接按照用户提出的特定要求设计和生产的器件。半定制电路:半定制电路:先由制造厂生产出标准的半成品,再根据用户要求由工先由制造厂生产出标准的半成品,再根据用户要求由工 厂或用户自己对半成品进行再加工,制成具有特定功能厂或用户自己对半成品进行再加工,制成具有特定功能 的专用集成电路器件。的专用集成电路器件。半定制电路半定制电路全定制电路全定制电路可编程逻辑器件可编程逻辑器件

45、PLD集成电路集成电路标准集成电路标准集成电路专用集成电路专用集成电路半定制电路中使用最多的是:半定制电路中使用最多的是:可编程逻辑器件可编程逻辑器件PLD。3、阵列逻辑电路、阵列逻辑电路57-61可编程逻辑器件可编程逻辑器件 PLD: ProgrammableLogicDevice 可由用户编程,用户可以配置的逻辑器件。可由用户编程,用户可以配置的逻辑器件。 采用采用 “ 阵列逻辑阵列逻辑” 技术生产的器件技术生产的器件基本结构:基本结构:“与或与或”两级结构的器件。两级结构的器件。 其最终逻辑结构和功能由用户编程决定。其最终逻辑结构和功能由用户编程决定。 ABCDA*B*DA*B*CA*B

46、*D + A*B*C3、阵列逻辑电路、阵列逻辑电路58-61与阵列与阵列Y1 1Y2 2或阵列或阵列AB与阵列与阵列Y1 1Y2 2或阵列或阵列任一组合逻辑函数都可用任一组合逻辑函数都可用“与或与或”式表示,即任何组合逻辑函数都可式表示,即任何组合逻辑函数都可以用以用一个与门阵列一个与门阵列与与一个或门阵列一个或门阵列来实现。来实现。标准画法标准画法简化画法简化画法可编程逻辑器件可编程逻辑器件 PLD3、阵列逻辑电路、阵列逻辑电路59-61可编程逻辑器件可编程逻辑器件 PLD:采用:采用阵列列逻辑技技术输入信号和乘积项构成了输入信号和乘积项构成了“与与”阵列阵列乘积项和逻辑函数构成了乘积项和逻

47、辑函数构成了“或或”阵列阵列这些阵列形成交叉点,阵列上交叉点这些阵列形成交叉点,阵列上交叉点连接方式有三种表示方法:连接方式有三种表示方法:“ 。” 表示硬连线,不可编程;表示硬连线,不可编程;“” 表示可编程连接;表示可编程连接;无任何标记无任何标记 表示不连接。表示不连接。F1=AB+ABF2=AB+AB+ABF3=ABF4=AB+ABABA BA BA BA BF1F2F3F4可可编编程程或或门门硬硬连连接接与与门门AB3、阵列逻辑电路、阵列逻辑电路60-613、阵列逻辑电路、阵列逻辑电路半定制电路半定制电路全定制电路全定制电路可编程逻辑器件可编程逻辑器件PLD集成电路集成电路标准集成电

48、路标准集成电路专用集成电路专用集成电路低密度低密度PLD:可编程只读存贮器可编程只读存贮器PROM可编程逻辑阵列可编程逻辑阵列PLA可编程阵列逻辑可编程阵列逻辑PAL通用阵列逻辑通用阵列逻辑GAL高密度高密度PLD:EPLD、CPLDFPGA类型类型 阵阵 列列 输输 出出 方方 式式 与与 或或 PROM PROM PLA PLA PAL PAL GAL GAL 固定固定 可编程可编程 可编程可编程 可编程可编程 可编程可编程 可编程可编程 固定固定 固定固定 固定固定固定固定固定固定可编程可编程存储器存储器RAM,ROM都是阵列逻辑电路;都是阵列逻辑电路;可实现逻辑功能经常变化的电路;可实

49、现逻辑功能经常变化的电路;可实现时序与组合逻辑电路之间的切换。可实现时序与组合逻辑电路之间的切换。61-61为了加深对三种为了加深对三种PLD基本结基本结构的理解,给出了实现相同构的理解,给出了实现相同逻辑函数时,三种不同的逻辑函数时,三种不同的PLD器件各自的内部结构。器件各自的内部结构。PROM结构结构 3、阵列逻辑电路:、阵列逻辑电路:举例举例1ABA BA BA BA BF1F2F3F4可可编编程程或或门门硬硬连连接接与与门门ABF1=AB+AB=A BF2=AB+AB+AB=A+BF3=ABF4=AB+AB=A实现的四个逻辑函数是:实现的四个逻辑函数是:62-61PLA结构结构 AB

50、AA B BA BF1F2F3F4可可编编程程或或门门可可编编程程与与门门ABF1=AB+AB=A BF2=AB+AB+AB=A+BF3=ABF4=AB+AB=A实现的四个逻辑函数是:实现的四个逻辑函数是:3、阵列逻辑电路:、阵列逻辑电路:举例举例2为了加深对三种为了加深对三种PLD基本结基本结构的理解,给出了实现相同构的理解,给出了实现相同逻辑函数时,三种不同的逻辑函数时,三种不同的PLD器件各自的内部结构。器件各自的内部结构。63-61PAL结构结构AA BA BAF1F2F3F4BA B00可可编编程程与与门门硬硬连连接接或或门门ABABF1=AB+AB=A BF2=AB+AB+AB=A+BF3=ABF4=AB+AB=A实现的四个逻辑函数是:实现的四个逻辑函数是:3、阵列逻辑电路:、阵列逻辑电路:举例举例3为了加深对三种为了加深对三种PLD基本结基本结构的理解,给出了实现相同构的理解,给出了实现相同逻辑函数时,三种不同的逻辑函数时,三种不同的PLD器件各自的内部结构。器件各自的内部结构。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号