电工电子技术基础第7章门电路和组合逻辑电路ppt课件

上传人:公**** 文档编号:567339610 上传时间:2024-07-20 格式:PPT 页数:105 大小:2.77MB
返回 下载 相关 举报
电工电子技术基础第7章门电路和组合逻辑电路ppt课件_第1页
第1页 / 共105页
电工电子技术基础第7章门电路和组合逻辑电路ppt课件_第2页
第2页 / 共105页
电工电子技术基础第7章门电路和组合逻辑电路ppt课件_第3页
第3页 / 共105页
电工电子技术基础第7章门电路和组合逻辑电路ppt课件_第4页
第4页 / 共105页
电工电子技术基础第7章门电路和组合逻辑电路ppt课件_第5页
第5页 / 共105页
点击查看更多>>
资源描述

《电工电子技术基础第7章门电路和组合逻辑电路ppt课件》由会员分享,可在线阅读,更多相关《电工电子技术基础第7章门电路和组合逻辑电路ppt课件(105页珍藏版)》请在金锄头文库上搜索。

1、 第第7章章 门电路和组合逻辑电路门电路和组合逻辑电路内容提要内容提要1.概述2.逻辑门电路3.逻辑函数的表示方法及其相互转换4.逻辑函数的代数化简化5.组合逻辑电路的分析与设计6.加法器7.编码器8.译码器和数字显示电路9.运用举例7.1 7.1 概述概述一、数字电路与数字信号 1.1.1.1.模模模模拟拟拟拟信号:随信号:随信号:随信号:随时间时间时间时间延延延延续变续变续变续变化的信号化的信号化的信号化的信号模模模模拟拟信号信号信号信号脉冲信号脉冲信号脉冲信号脉冲信号电电子子子子电电路中的信号路中的信号路中的信号路中的信号正弦波信号正弦波信号正弦波信号正弦波信号t t三角波信号三角波信号

2、三角波信号三角波信号t t 处置模拟信号的电路称为模拟电路。如整流处置模拟信号的电路称为模拟电路。如整流电路、放大电路等,注重研讨的是输入和输出电路、放大电路等,注重研讨的是输入和输出信号间的大小及相位关系。信号间的大小及相位关系。 在模拟电路中,晶体管三极管通常任务在模拟电路中,晶体管三极管通常任务在放大区。在放大区。 2. 脉冲信号脉冲信号 是一种是一种跃变信号,并且信号,并且继续时间短短暂。尖尖尖尖顶顶波波波波t矩形波矩形波矩形波矩形波t 处置脉冲信号的电路称为数字电路,它注重处置脉冲信号的电路称为数字电路,它注重研讨的是输入、输出信号之间的逻辑关系。本研讨的是输入、输出信号之间的逻辑关

3、系。本质上是一种逻辑控制电路,也称为数字逻辑电质上是一种逻辑控制电路,也称为数字逻辑电路。路。 在数字电路中,晶体管普通任务在截止区和在数字电路中,晶体管普通任务在截止区和饱和区,起开关的作用。饱和区,起开关的作用。常用数字常用数字常用数字常用数字“0“0和和和和“1“1来表示来表示来表示来表示这类这类信号的两种形信号的两种形信号的两种形信号的两种形状如信号的有、无;状如信号的有、无;状如信号的有、无;状如信号的有、无;电电位的高、低等,又位的高、低等,又位的高、低等,又位的高、低等,又称称称称为为数字信号。数字信号。数字信号。数字信号。 在数字电路中,分析数字电路的工具是逻辑在数字电路中,分

4、析数字电路的工具是逻辑代数,表达电路的逻辑功能时主要用逻辑形状代数,表达电路的逻辑功能时主要用逻辑形状表、逻辑函数表达式、逻辑电路图及波形图等。表、逻辑函数表达式、逻辑电路图及波形图等。7.2 7.2 逻辑门电逻辑门电路路1 1、逻辑逻辑指条件与指条件与结结果之果之间间的因果关系。的因果关系。 2 2、逻辑电逻辑电路指路指输输出信号与出信号与输输入信号之入信号之间间存在一存在一定定逻辑逻辑关系的关系的电电路。路。 3 3、门电门电路指一种具有多个路指一种具有多个输输入端和一个入端和一个输输出端出端的开关的开关电电路。路。输输入和入和输输出之出之间间存在着一定的存在着一定的逻辑逻辑关系,又称关系

5、,又称为逻辑门电为逻辑门电路。路。 一、几个名一、几个名词 4 4、在分析、在分析逻辑电逻辑电路路时时只用两种相反的任只用两种相反的任务态务态,并用并用“1“1和和“0“0来表示。来表示。 逻辑代数中的代数中的 1 和和 0 不表示数量大小,不表示数量大小,仅表示两种相反的形状。表示两种相反的形状。 留意留意例如:开封锁合为例如:开封锁合为 1 晶体管导通为晶体管导通为 1 电位高为电位高为 1 断开为断开为 0 截止为截止为 0 低为低为 05 5、逻辑系统、逻辑系统 正正逻辑系系统 负逻辑系系统 规定高电平为逻辑规定高电平为逻辑 1、低电平为逻辑、低电平为逻辑 0 规定低电平为逻辑规定低电

6、平为逻辑 1、高电平为逻辑、高电平为逻辑 0 通通常常未未加加阐明明,那那么么为正正逻辑体体制制与与逻辑 或或逻辑 6 6、根本、根本逻辑逻辑函数函数 非非逻辑与运算与运算( (逻辑乘乘) ) 或运算或运算(逻辑加加) 非运算非运算( (逻辑非非) ) 7 . 2 . 1 与与逻辑逻辑和与和与门门1. “1. “1. “1. “与与与与逻辑逻辑逻辑逻辑关系关系关系关系U+- 设设:开关断开、灯不亮用:开关断开、灯不亮用:开关断开、灯不亮用:开关断开、灯不亮用逻辑逻辑 “0 “0表示,开封表示,开封表示,开封表示,开封锁锁合、灯亮用合、灯亮用合、灯亮用合、灯亮用 逻辑逻辑“1“1表示。后例一表示

7、。后例一表示。后例一表示。后例一样样假假假假设设逻辑表达式:逻辑表达式:逻辑表达式:逻辑表达式: Y = A B Y = A B “ “与与与与逻辑逻辑关系是指当决关系是指当决关系是指当决关系是指当决议议某事件的条件全部某事件的条件全部某事件的条件全部某事件的条件全部具具具具备时备时,该该事件才事件才事件才事件才发发生。生。生。生。000101110100ABYBYA逻辑逻辑形状表形状表形状表形状表2. 2. 2. 2. 二极管二极管二极管二极管“与与与与门电门电门电门电路路路路 (1) (1) 电电路路路路+U 12VRVD1ABYVD2(2) (2) 任任务务原理原理ABY“ “与与与与

8、门逻辑门逻辑形状表形状表形状表形状表0V0V0V0003V0V0V0100V3V1000V3V3V3V111输入入A、B不全不全为“1,输出出 Y 为“0。输入入A、B全全为高高电平平“1,输出出 Y 为“1。3. 3. 3. 3. 逻辑逻辑逻辑逻辑符号符号符号符号即:有即:有“0出出“0, 全全“1出出“1逻辑逻辑表达式:表达式:表达式:表达式: Y=A B逻辑逻辑符号:符号:符号:符号:&ABYABY“ “与与与与 门逻辑门逻辑形状表形状表形状表形状表0000101001114. 4. 4. 4. 逻辑逻辑逻辑逻辑乘的运算乘的运算乘的运算乘的运算规规规规那那那那么么么么0 0=0 0 1=

9、0 1 0=0 1 1=1 推行:推行:推行:推行:A 0=0 A 1=A A A=A 7 . 2 . 2 或逻辑和或门或逻辑和或门1. “1. “或或或或逻辑逻辑逻辑逻辑关系关系关系关系BYUA+- “或或逻辑关系是指当决关系是指当决议某事件的条件之一某事件的条件之一具具备时,该事件就事件就发生。生。逻辑表达式:逻辑表达式:逻辑表达式:逻辑表达式: Y = A + B Y = A + B形状表形状表形状表形状表000111110110ABY2. 2. 二极管二极管二极管二极管“ “或或或或 门电门电门电门电路路路路 (1) (1) 电电路路路路-U 12VRAYVD1BVD2ABY“ “或或

10、或或 门逻辑门逻辑形状表形状表形状表形状表(2) (2) (2) (2) 任任任任务务务务原理原理原理原理0V0V0V0000V3V3V0113V0V3V1013V3V3V111输入入A、B有一个有一个为“1,输出出 Y 为“1。输入入A、B全全为低低电平平“0,输出出 Y 为“0。3. 3. 3. 3. 逻辑逻辑逻辑逻辑符号符号符号符号000101011111ABY“ “或或或或 门逻辑门逻辑形状表形状表形状表形状表Y=A+B逻辑逻辑表达式:表达式:表达式:表达式: 即:有即:有“1出出“1, 全全“0出出“0逻辑逻辑符号:符号:符号:符号:ABY 14. 4. 4. 4. 逻辑逻辑逻辑逻辑

11、加的运算加的运算加的运算加的运算规规规规那么那么那么那么0+0=0 0+1=1 1+0=1 1+1=1 推行:推行:推行:推行:A+0=A A+1=A A+A=A 与与与与门门、或、或、或、或门门的的的的输输入端推行:入端推行:入端推行:入端推行:Y=A B C Y=A + B + C + 7 . 2 . 3 非逻辑和非门非逻辑和非门1. “1. “非非非非逻辑逻辑逻辑逻辑关系关系关系关系 “非非逻辑关系能否关系能否认或相反的意思。或相反的意思。形状表形状表形状表形状表101AY0YUA+-逻辑逻辑表达式:表达式:表达式:表达式:Y = AY = AR2. 2. 晶体管晶体管晶体管晶体管“ “

12、非非非非 门电门电门电门电路路路路+UCC-UBBARB1RB2RCYVT截止截止截止截止饱和饱和“0“1“1 (1) (1) 电电路路路路 1 010AY“ “非非非非 门逻辑门逻辑形状表形状表形状表形状表(2) (2) 逻辑表达式:逻辑表达式:Y=AY=A逻辑符号逻辑符号1AY可以可以总结为两句口两句口诀:有:有1出出0,有,有0出出1。“04. 4. 逻辑逻辑逻辑逻辑非的运算非的运算非的运算非的运算规规规规那么那么那么那么推行:推行:推行:推行:7 . 2 . 4 复合逻辑门电路复合逻辑门电路1. 1. 与非与非与非与非门门门门“ “与与与与门门&AB1Y“ “非非非非门门Y&AB“ “

13、与非与非与非与非门门Y=A B逻辑逻辑表达式:表达式:表达式:表达式: 有有“0出出“1,全,全“1出出“0001101011110ABY“ “与非与非与非与非 门逻辑门逻辑形状表形状表形状表形状表集成与非门电路(a) TTL与非门电路 (b) CMOS与非门电路(a)(b)(a)(b)TTL与非门外引线陈列图(a) CT74LS204输入2与非门 (b) CT74LS002输入4与非门2. 2. 或非或非或非或非门门门门“ “或或或或门门AB 11YY&AB“ “或非或非或非或非门门Y=A+B逻辑逻辑表达式:表达式:表达式:表达式: 001110100010ABY“ “或非或非或非或非 门逻

14、辑门逻辑形状表形状表形状表形状表有有“1出出“0,全,全“0出出“1 CMOS集成或非门电路ABC&1&D1Y3. 3. 与或非与或非与或非与或非门门门门1&YABCD逻辑符号符号逻辑逻辑表达式:表达式:表达式:表达式: Y=A B+C D4. 4. 异或异或异或异或门门门门逻辑逻辑表达式:表达式:表达式:表达式: Y=AB+AB=A B逻辑符号符号000110101011ABY“ “异或异或异或异或 门逻辑门逻辑形状表形状表形状表形状表相异出相异出“1,一样出,一样出“05. 5. 同或同或同或同或门门门门逻辑符号符号逻辑逻辑表达式:表达式:表达式:表达式: =1ABY00111110001

15、0ABY“ “同或同或同或同或 门逻辑门逻辑形状表形状表形状表形状表Y= AB +AB=A B =A B一样出一样出“1,相异出,相异出“0逻辑逻辑形状形状表表出出出出出出出出出出出出相异出相异出相异出相异出0几种常几种常几种常几种常见见的复合的复合的复合的复合门门 例例例例1 1 1 1:知与:知与:知与:知与门电门电路两个信号路两个信号路两个信号路两个信号输输入端入端入端入端A A A A、B B B B的波形如下的波形如下的波形如下的波形如下图图,试试画出与画出与画出与画出与门输门输出出出出Y=ABY=ABY=ABY=AB的波形。的波形。的波形。的波形。ABY全全“1出出“1,有,有“0

16、出出“0 例例例例2 2 2 2:如下:如下:如下:如下图图是一个由与非是一个由与非是一个由与非是一个由与非门组门组成的成的成的成的电电路,其中路,其中路,其中路,其中输输入端入端入端入端A A A A、B B B B分分分分别别参与波形不同的脉冲信号,参与波形不同的脉冲信号,参与波形不同的脉冲信号,参与波形不同的脉冲信号,E E E E 端分端分端分端分别别加高低加高低加高低加高低电电平。平。平。平。试试分析分析分析分析该电该电路的路的路的路的逻辑逻辑功能。功能。功能。功能。Y&1.BA&E101AA写出写出写出写出逻辑逻辑式:式:式:式:=AE +BEY=AE BE 设:E=1封锁封锁翻开

17、翻开选通选通A信号信号B BY&1.BA&E011设:E=0封锁封锁封锁封锁选通选通B信号信号翻开翻开翻开翻开B写出写出写出写出逻辑逻辑式:式:式:式:=AE +BEY=AE BE信号信号选通通电路路:E=0时时,Y=BE=1时时,Y=A 总结:由以上两例:由以上两例还可看出,二可看出,二输入端的与入端的与门和和与非与非门都可作都可作为控制控制门运用。当控制端运用。当控制端为低低电平平0 0时关关门,信号不能,信号不能经过;当控制端;当控制端为高高电平平1 1时开开门,信号可以信号可以传送到送到输出端。出端。 例例例例3 3 3 3:如下:如下:如下:如下图图是一密是一密是一密是一密码锁码锁控

18、制控制控制控制电电路。开路。开路。开路。开锁锁条件是:条件是:条件是:条件是:要要要要拨对拨对密密密密码码,并用,并用,并用,并用钥钥匙将开关匙将开关匙将开关匙将开关S S S S闭闭合。当两个条件同合。当两个条件同合。当两个条件同合。当两个条件同时满时满足足足足时时,门锁门锁控制器开控制器开控制器开控制器开锁锁信号信号信号信号为为1 1 1 1,报报警信号警信号警信号警信号为为0 0 0 0,门锁门锁翻开;否那么,开翻开;否那么,开翻开;否那么,开翻开;否那么,开锁锁信号信号信号信号为为0 0 0 0,而,而,而,而报报警信号警信号警信号警信号为为1 1 1 1,锁锁不能翻开,同不能翻开,同

19、不能翻开,同不能翻开,同时时接通警接通警接通警接通警铃报铃报警。警。警。警。试试分析密分析密分析密分析密码码ABCDEABCDEABCDEABCDE是多少?是多少?是多少?是多少? 解:解:解:解:从从输入端开入端开场依次写出各个依次写出各个门的的逻辑式:式: G1门门: G2门门: G3门门: G4门门: 知开知开锁时,S=1,且,且应有有Y3=0,Y4=1,那么,那么必有必有Y1=0,故密,故密码应为 A=1,B=1,C=0,D=1,E=0 当密当密码不不对时,Y3=1,Y4=0,接通警,接通警铃报警。警。7.3 7.3 逻辑逻辑函数的表示方法及其相互函数的表示方法及其相互转换转换7 .

20、3 . 1 逻辑逻辑函数的表示方法函数的表示方法逻辑函数描画了某种函数描画了某种逻辑关系。关系。常采用真常采用真值表、表、逻辑函数式、波形函数式、波形图和和逻辑图等表示。等表示。1. 真真值值表表 列列出出输入入变量量的的各各种种取取值组合合及及其其对应输出出逻辑函数函数值的表格称真的表格称真值表。表。列列真真值表表方方法法 (1)(1)按按 n n 位二位二进进制数制数递递增的方式列增的方式列 出出输输入入变变量的各种取量的各种取值组值组合。合。(2) (2) 分分别别求出各种求出各种组组合合对应对应的的输输出出 逻辑值逻辑值填入表格。填入表格。 0 0 0 0 A B C Y A B C

21、Y0 0 1 00 1 0 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 13 个输入个输入变量有变量有 23 = 8种取种取值组合。值组合。的真值表。的真值表。例求函数例求函数 BCABY+ += =+ +CA1逻辑函数表达式与真函数表达式与真值表之表之间的相互的相互转换1 由由逻辑逻辑表达式表达式转换为转换为真真值值表表2 由真由真值值表表转换为逻辑转换为逻辑表达式表达式2. 逻辑逻辑表达式表达式 表示表示输出函数和出函数和输入入变量量逻辑关系的关系的 表达式。又称表达式。又称逻辑函数式,函数式,简称称逻辑式。式。 逻辑函数式普通根据真函数式普通根据真值表或表或逻辑

22、图写出。写出。 (1)(1)找出函数找出函数值为值为 1 1 的的项项。(2)(2)将将这这些些项项中中输输入入变变量取量取值为值为 1 1 的用原的用原变变量替代,量替代, 取取值为值为 0 0 的用反的用反变变量替代,那么得到一系列与量替代,那么得到一系列与项项。(3)(3)将将这这些与些与项项相加即得相加即得逻辑逻辑式。式。真真值表表逻辑式式例例 1000111100111101000100100100YCBA011010001111 ABC 逻辑式式为 第第7章章 门电路和组合逻辑电路门电路和组合逻辑电路3. 逻辑图逻辑图 由由逻辑符号及相符号及相应连线构成的构成的电路路图。 根据根据

23、逻辑式画式画逻辑图的方法的方法: :将各将各级逻辑运算用运算用 相相应逻辑门去去实现。 与项用与门实现与项用与门实现 相加项用或门实现相加项用或门实现 例例 画画 的逻辑图的逻辑图 2逻辑函数表达式与函数表达式与逻辑图之之间的相互的相互转换1 逻辑逻辑函数表达式函数表达式转换为逻辑图转换为逻辑图运算次序运算次序为先与再或,因此用两先与再或,因此用两级电路路实现之。之。2 由由逻辑图转换为逻辑逻辑图转换为逻辑函数表达式函数表达式例例 写出写出逻辑图对应的的逻辑表达式表达式 Y1=A+B Y2=C+D Y=Y1Y2=(A+B)(C+D) 7.4 7.4 逻辑逻辑函数的代数化函数的代数化简简7 .

24、4 . 1 逻辑逻辑代数的根本定律和代数的根本定律和常用公式常用公式1逻辑代数的根本定律逻辑代数的根本定律 (一一) 与普通代数与普通代数类似的似的定律定律 普通代数没有!普通代数没有! 交交换律律 A + B = B + A A B = B A结合律合律 (A + B) + C = A + (B + C) (A B) C = A (B C)分配律分配律 A (B + C) = AB + AC A + BC = (A + B) (A + C)反演律反演律 利用真值表利用真值表 逻辑等式的等式的证明方法明方法 利用根本公式和根本定律利用根本公式和根本定律例例 证明反演律的正确性明反演律的正确性

25、解:解: 真真值表法表法11 1 1 0 0 1 0 0 A B 110111010001000推行公式:推行公式:反演律又称反演律又称为摩根定律摩根定律 7 . 4 . 2 逻辑逻辑函数化函数化简简的常用公的常用公式式 A + AB = A A + AB = A (1 + B) = A 推行公式:推行公式: 7 . 4 . 3 逻辑逻辑函数的代数化函数的代数化简简法法运用运用逻辑代数的根本定律和代数的根本定律和公式公式对逻辑式式进展化展化简。 并并项法法 运用运用 ,将两将两项合并合并为一一项,并消去一个,并消去一个变量。量。 吸收法吸收法 运用运用A+AB =A,消去多余的与,消去多余的与

26、项。 消去法消去法 运用吸收律运用吸收律 ,消去多余因子,消去多余因子 。利用公式利用公式 消去多余乘消去多余乘积项 。配配项法法 经过 、 进展配展配项,然,然后再化后再化简。运用运用运用运用7.5 7.5 组组合合逻辑电逻辑电路的分析与路的分析与设计设计指任何时辰的输出仅取决于指任何时辰的输出仅取决于该时辰输入信号的组合,而与电该时辰输入信号的组合,而与电路原有的形状无关的电路。路原有的形状无关的电路。 数字数字电路根据路根据逻辑功能特点的不同分功能特点的不同分为 组合逻辑电路组合逻辑电路 时序逻辑电路时序逻辑电路 指任何时辰的输出不仅取决指任何时辰的输出不仅取决于该时辰输入信号的组合,而

27、且于该时辰输入信号的组合,而且与电路原有的形状有关的电路。与电路原有的形状有关的电路。 组合逻辑电路的逻辑功能特点:组合逻辑电路的逻辑功能特点: 没有存储和记忆作用。没有存储和记忆作用。 组合电路的组成特点:组合电路的组成特点: 由门电路构成,不含记忆单元。由门电路构成,不含记忆单元。 组合合电路的描画方法主要有路的描画方法主要有逻辑表达式、真表达式、真值表和表和逻辑图等。等。 7 . 5 . 1 组组合合逻辑电逻辑电路的分析路的分析分析思绪:分析思绪:根本步骤:根本步骤:根根据据给定定逻辑电路路,找找出出输出出输入入间的的逻辑关关系系,从而确定从而确定电路的路的逻辑功能。功能。 根据根据给定

28、定逻辑图写出写出输出出逻辑式,并式,并进展必要的化展必要的化简列真列真值表表分析分析逻辑功能功能 例例 分析以下分析以下图逻辑电图逻辑电路的功能。路的功能。解:解: (1)(1)写出写出输输出出逻辑逻辑函数式函数式(3)(3)分析分析逻辑逻辑功能功能经过分析真值表经过分析真值表特点来阐明功能。特点来阐明功能。 输入入变量一量一样时输出出为“1,否那么,否那么,输出出为“0,阐明明该电路完成的是同或功能路完成的是同或功能F1F2F3F(2)(2)列列逻辑逻辑函数真函数真值值表表11011000FBA输输 出出 输输 入入1010 例例 分析以下分析以下图逻辑电图逻辑电路的功能。路的功能。解:解:

29、(1)(1)写出写出输输出出逻辑逻辑函数式函数式F111011101001110010000000FCBA输输 出出输输 入入D0100000010010110111011101001110010000000FCBA输输 出出输输 入入D010000010010110111011011001111011001001FCBA输输 出出输输 入入D0100111110101001(2)(2)列列逻辑逻辑函数真函数真值值表表(3)(3)分析分析逻辑逻辑功能功能当四个当四个输入入变量中有偶数个量中有偶数个“1时,输出出为“1,否那么,否那么,输出出为“0。这样从从输出端的形状,出端的形状,可以判可以判

30、别输入端入端“1的个数能否的个数能否为偶数,因此,偶数,因此,这是一个判偶是一个判偶电路。路。7 . 5 . 2 组组合合逻辑电逻辑电路的路的设计设计设计思绪:设计思绪:根本步骤:根本步骤: 分析分析给定定逻辑要求,要求,设计出能出能实现该功能功能的的组合合逻辑电路。路。 分析分析设计要求并列出真要求并列出真值表表求最求最简输出出逻辑式式画画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值哪些,并规定它们的符号与逻辑取值(即规定它们何时即规定它们何时取值取值 0 ,何时取值,何时取值1) 。然后分析输出变量和输入

31、变量间。然后分析输出变量和输入变量间的逻辑关系,列出真值表。的逻辑关系,列出真值表。根根据据真真值值表表写写出出逻逻辑辑表表达达式式并并进进展展化化简简,然然后后根根据题中对门电路类型的要求,画出逻辑图。据题中对门电路类型的要求,画出逻辑图。 例例 某某产产品有品有A A、B B、C C三三项项目的,只需有恣意两目的,只需有恣意两项项目的目的满满足要足要求,求,产产品就合格,用与非品就合格,用与非门设计门设计一个一个产质产质量量量量检验电检验电路。路。解:解: (1)(1)分析分析设计设计要求,列出真要求,列出真值值表表设 A、B、C 目的目的满足要求足要求为形状形状 1,不,不满足要求足要求

32、为 形状形状0;产品能否合格用品能否合格用F 表示,合格表示,合格为形状形状 1,不合格,不合格为形状形状 0。真。真值表如右表如右111011101001110010100000YCBA输出输出输输 入入10000111(2)(2)化化简输简输出函数出函数,并求最,并求最简与非式与非式&ABCC(3)(3)根据根据输输出出逻辑逻辑式画式画逻辑图逻辑图功能功能功能功能: : : : 实现实现二二二二进进制加法运算的制加法运算的制加法运算的制加法运算的电电路,是根本的路,是根本的路,是根本的路,是根本的数字数字数字数字逻辑逻辑部件,是算部件,是算部件,是算部件,是算术术运算的中心,利用加法运算的

33、中心,利用加法运算的中心,利用加法运算的中心,利用加法器可以器可以器可以器可以实现实现加、减、乘、除。加、减、乘、除。加、减、乘、除。加、减、乘、除。如:如:如:如: 进进位位位位101001+1 0 111 1 10A3 A2 A1 A0+B3 B2 B1 B0C2 C1 C0 S3 S2 S1 S0C3117.6 加法器加法器7 . 6 . 1 半加器半加器 半加:半加:半加:半加:实现实现两个一位二两个一位二两个一位二两个一位二进进制数相加,不思索来制数相加,不思索来制数相加,不思索来制数相加,不思索来自低位的自低位的自低位的自低位的进进位。位。位。位。A AB B两个两个两个两个输输入

34、入入入表示两个同位相加的数表示两个同位相加的数表示两个同位相加的数表示两个同位相加的数两个两个两个两个输输出出出出S SC C表示半加和表示半加和表示半加和表示半加和表示向高位的表示向高位的表示向高位的表示向高位的进进位位位位逻辑逻辑符号:符号:符号:符号:COCOA AB BS SC C 半加器半加器半加器半加器逻辑逻辑形状表形状表形状表形状表A B S C0 0 0 00 1 1 01 0 1 01 1 0 1逻辑逻辑表达式表达式表达式表达式: : : :逻辑图逻辑图&=1=1.ABSC意义?7 . 6 . 2 全加器全加器输入输入AiAi表示两个同位相加的数表示两个同位相加的数表示两个同

35、位相加的数表示两个同位相加的数BiBiCi-1Ci-1表示低位来的进位表示低位来的进位输输出出出出表示本位和表示本位和表示本位和表示本位和表示向高位的表示向高位的表示向高位的表示向高位的进进位位位位CiCiSiSi 全加:全加:全加:全加:实现实现两个一位二两个一位二两个一位二两个一位二进进制数相加,且思索来自低位的制数相加,且思索来自低位的制数相加,且思索来自低位的制数相加,且思索来自低位的进进位。位。位。位。逻辑逻辑符号:符号:符号:符号:AiBiCi-1SiCiCOCO CICI半加器构成的全加器半加器构成的全加器半加器构成的全加器半加器构成的全加器1BiAiCi-1SiCiCiCOCO

36、 COCO (1) (1) 列列列列逻辑逻辑逻辑逻辑形状表形状表形状表形状表(2) (2) 写出写出写出写出逻辑逻辑逻辑逻辑式式式式Ai Bi Ci-1 Si Ai Bi Ci-1 Si Ci Ci 0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1同理得同理得同理得同理得进进位表达式位表达式位表达式位表达式意义?7 . 6 . 3 加法器加法器四位串行进位加法器四位串行进位加法器C3 S3 S2 S1 S0 A3 B3 A2 B2 A1 B1 A0 B0 C-1C0C1C2 SOCO 1 CIA B

37、 SOCO 3 CIA B SOCO 2 CIA B SOCO 0 CIA B特点:特点:进位信号是由低位向高位逐位信号是由低位向高位逐级传送的,速度不高。送的,速度不高。串行串行进位加法器位加法器2 2超前进位加法器超前进位加法器 四位二进制超前进位加法器(a) CMOS外引线陈列图 (b) TTL外引线陈列图超前进位就是在作加法运算的同时,利用快速进位电路超前进位就是在作加法运算的同时,利用快速进位电路将各进位数也求出来,不需求逐级等待低位送来的进位信将各进位数也求出来,不需求逐级等待低位送来的进位信号,从而加快了运算速度。号,从而加快了运算速度。7.7 编码编码器器 把二把二进制制码按一

38、定按一定规律律编排,使每排,使每组代代码具具有一特定的含有一特定的含义,称,称为编码。具有具有编码功能的功能的逻辑电路称路称为编码器。器。 n 位二位二进制代制代码有有 2n 种种组合,可以表示合,可以表示 2n 个个信息。信息。 要表示要表示要表示要表示N N个信息所需的二个信息所需的二个信息所需的二个信息所需的二进进制代制代制代制代码应满码应满足足足足 2n 2n N N 2n-1 2n-1 常常常常见见的有的有的有的有4 4线线-2-2线线、8 8线线-3-3线线、1616线线-4-4线编码线编码器器器器7 . 7 . 1 二二进进制制编码编码器器3位二位二进进制制编码编码器器逻辑逻辑形

39、状表形状表写出写出写出写出逻辑逻辑式并式并式并式并转换转换成成成成“ “与非式与非式与非式与非式Y2 = I4 + I5 + I6 +I7 = I4 I5 I6 I7.= I4+ I5+ I6+ I7Y1 = I2+I3+I6+I7 = I2 I3 I6 I7. . .= I2 + I3 + I6+ I7Y0 = I1+ I3+ I5+ I7 = I1 I3 I5 I7.= I1 + I3+ I5 + I7 画出画出画出画出逻辑图逻辑图I7I6I5I4I3I1I2&1 11 11 11 11 11 11 1Y2Y1Y00000000000I0为隐为隐含含输输入当入当I7I1都都为为0时输时输出

40、出为为I0的的编码编码不允不允不允不允许许两个或两个或两个或两个或两个以两个以两个以两个以上的信上的信上的信上的信号同号同号同号同时时有效。有效。有效。有效。否那么否那么否那么否那么乱乱乱乱码码。100000001110100000 1100010000 1010001000 1000000100 0110000010 0100000001 0017 . 7 . 2 二二十十进进制制编码编码器器 将十将十将十将十进进制数制数制数制数 09 09 09 09 编编成二成二成二成二进进制代制代制代制代码码的的的的电电路,称路,称路,称路,称为为10101010线线-4-4-4-4线编码线编码器,常

41、器,常器,常器,常见见的是的是的是的是8421BCD8421BCD8421BCD8421BCD码编码码编码器。器。器。器。表示十进制数表示十进制数4 4位位位位10个个编码器编码器高高高高低低低低电电平平平平信信信信号号号号二二二二进进制制制制代代代代码码二二-十十进制制编码器器逻辑形状表形状表逻辑表达式表达式7 . 7 . 3 优优先先编码编码器器8 8线线线线33线优线优线优线优先先先先编码编码编码编码器器器器 CT74LS148CT74LS148 1 1CT74LS148CT74LS148输输入、入、入、入、输输出都是低出都是低出都是低出都是低电电平有效。平有效。平有效。平有效。 优先先

42、编码器可以有多个有效器可以有多个有效输入信号同入信号同时输入,入,但是只需但是只需优先先级别最高最高输入的入的编码输出。出。 7 7优优优优先先先先级别级别级别级别最高,最高,最高,最高,0 0优优优优先先先先级别级别级别级别最低。最低。最低。最低。3 3S S为为使能端,低使能端,低使能端,低使能端,低电电平有效。平有效。平有效。平有效。CT74LS148逻辑符号逻辑符号I01011121312345YEX15YS14Y09Y17Y26SI1I2I3I4I5I6I74 4YEXYEX、 YS YS用于用于用于用于扩扩展功能的展功能的展功能的展功能的输输出端。出端。出端。出端。2 2I0I0

43、I7 I7是信号是信号是信号是信号输输入端,入端,入端,入端, Y0 Y0 Y7Y7是信号是信号是信号是信号输输出端。出端。出端。出端。输输 入入 输输 出出SI7 I6 I5 I4 I3 I2 I1 I0YEX YSY2 Y1 Y01000000000 1 1 1 1 1 1 1 1 0 1 0 1 1 0 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 01 11 00 10 1 0 10 10 10 10 10 11 1 11 1 10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1优先先编

44、码器器74LS148功能表功能表 输出是出是输入入线编码二二进制的反制的反码为什么要设计为什么要设计 输出输出信号?信号?YEX、YS 1 1 1 1输输入端入端入端入端S S S S控制控制控制控制编码编码器的任器的任器的任器的任务务形状。形状。形状。形状。S=0S=0S=0S=0时时,允,允,允,允许编码许编码, S=1 S=1 S=1 S=1时时,制止,制止,制止,制止编码编码。故。故。故。故S S S S端称端称端称端称为为使能端也使能端也使能端也使能端也称称称称为为控制端或控制端或控制端或控制端或选选通端。通端。通端。通端。 2 2当当当当S=0S=0允允允允许许任任任任务时务时,假

45、,假,假,假设设I0I7I0I7有信号有信号有信号有信号输输入,入,入,入,YS=1YS=1;假;假;假;假设设I0I7I0I7无信号无信号无信号无信号输输入,入,入,入,YS=0YS=0。 3 3YEXYEX为扩为扩展展展展输输出端,也用于出端,也用于出端,也用于出端,也用于编码编码的的的的级联级联,当当当当S=0S=0时时,只需有,只需有,只需有,只需有编码编码信号,信号,信号,信号,YEX=0YEX=0。当。当。当。当编码编码器器器器多多多多级衔级衔接接接接时时,YEXYEX可作可作可作可作为编码输为编码输出位的出位的出位的出位的扩扩展。展。展。展。7.8 译码译码器和数字器和数字显显示

46、示电电路路译码器的分器的分类: 1 1 译码译码器的概念与分器的概念与分类类译码器:具有器:具有译码功能的功能的逻辑电路称路称为译码器。器。独一地址独一地址译码器器代代码变换器器将一系列代将一系列代码转换成与之一一成与之一一对应的有效信号。的有效信号。 将一种代将一种代码转换成另一种代成另一种代码。 二二进制制译码器器 二二十十进制制译码器器显示示译码器器常常见的独一地址的独一地址译码器:器: 译码:译码是是编码的逆的逆过程,它能将二程,它能将二进制制码翻翻译成代表某一特定含成代表某一特定含义的信号。的信号。( (即即电路的某种形状路的某种形状) )7 . 8 . 1 二二进进制制译码译码器器

47、n 个输个输入端入端使能输使能输入端入端2n个输个输出端出端设输入端的个数入端的个数为n n,输出端的个数出端的个数为M M那么有那么有 M=2n M=2n逻辑表达式表达式三位二三位二进制制译码器器逻辑图电路特点:与路特点:与门组成的成的阵列列三位二三位二进制制译码器器逻辑形状表形状表输入:入:3位二位二进制代制代码输出:出:8个互斥的信号个互斥的信号101111111100000000制止制止选通选通1111111110 0 0111011110 0 1110111110 1 0101111110 1 1011111111 0 0111101111 0 1111110111 1 011111

48、1011 1 11111111074LS74LS逻逻逻逻辑图辑图辑图辑图A0A1A2111&Y0Y1Y2Y3Y4Y5Y6Y7CCBBAAS1 S2S3EN&74LS74LS74LS74LS译码译码译码译码器形状表器形状表器形状表器形状表 输输输输出低出低出低出低电电电电平有效平有效平有效平有效 输输 入入A2 A1 A0 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y70 0 0 0 1 1 1 1 1 1 10 0 1 1 0 1 1 1 1 1 10 1 0 1 1 0 1 1 1 1 10 1 1 1 1 1 0 1 1 1 11 0 0 1 1 1 1 0 1 1 11 0 1 1 1

49、1 1 1 0 1 11 1 0 1 1 1 1 1 1 0 11 1 1 1 1 1 1 1 1 1 0输输 出出 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1控控 制制S1 S2 10 1 01 01 01 01 01 01 01 0 常用的常用的常用的常用的变变量量量量译码译码器有:器有:器有:器有:2 2线线44线译码线译码器器器器74LS74LS,3 3线线88线译码线译码器器器器74LS74LS,4 4线线1616线译码线译码器器器器74LS15474LS154。 译码译码器的用途很广,在器的用途很广,在器的用途很广,在器的用途很广,在计计算机中常用作地址算机中常

50、用作地址算机中常用作地址算机中常用作地址译码译码器或指令器或指令器或指令器或指令译码译码器,在数字系器,在数字系器,在数字系器,在数字系统统中可用作数据分配器。中可用作数据分配器。中可用作数据分配器。中可用作数据分配器。由由由由74LS74LS74LS74LS构成数据分配器:构成数据分配器:构成数据分配器:构成数据分配器: A BY1Y0XY3Y2数据分配器是将一个数据源来的数据根据需求数据分配器是将一个数据源来的数据根据需求送到多个不同的通道上去的送到多个不同的通道上去的逻辑电路。路。 它将一个它将一个输入入x x分分时地送到多路地送到多路输出上去。出上去。详细选择哪一路哪一路输出由一出由一

51、组地址地址变量确定。它有一量确定。它有一根根输入入线,n n根地址根地址线,2n2n根根输出出线。四四路路分分配配器器 Y1 Y0Y3Y2XA B地址地址地址地址输输入端入端入端入端数据数据数据数据输输入入入入数数数数据据据据输输出出出出端端端端1 11 00 10 0方法一:方法一:方法一:方法一:101111方法二:方法二:方法二:方法二:101111A2A1A0Y0Y1Y2Y3Y0Y1Y2Y30 0 0 X 1 1 1 1 1 1 10 0 1 1 X 1 1 1 1 1 10 1 0 1 1 X 1 1 1 1 10 1 1 1 1 1 X 1 1 1 11 0 0 1 1 1 1 X

52、 1 1 11 0 1 1 1 1 1 1 X 1 11 1 0 1 1 1 1 1 1 X 11 1 1 1 1 1 1 1 1 1 XA01A12A23G16S24S15Y015Y114Y213Y312Y411Y510Y69Y7774LSX1A01A12A23G16S24S15Y015Y114Y213Y312Y411Y510Y69Y7774LSX7 . 8 . 2 二二十十进进制制译码译码器器A015A114A213A312Y01Y12Y23Y34Y45Y56Y67Y79Y810Y91174LS42 将将4 4位位BCDBCD码码的十的十组组代代码译码译成成0 09 9十个十个对应输对应输

53、出信号的出信号的电电路,也称路,也称4 4线线1010线译码线译码器。器。 将将输输入入A3A3端作端作为为使能端使能端时时,74LS4274LS42可可为为3 3线线8 8线译码线译码器。器。10010000101074LS4274LS42译码译码译码译码器形状表器形状表器形状表器形状表 输输输输出低出低出低出低电电电电平有效平有效平有效平有效 输输 入入A3 A2 A1 A1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y90 0 1 0 1 1 0 1 1 1 1 1 1 10 0 1 1 1 1 1 0 1 1 1 1 1 10 1 0 0 1 1 1 1 0 1 1 1 1

54、 1 0 1 0 1 1 1 1 1 1 0 1 1 1 10 1 1 0 1 1 1 1 1 1 0 1 1 10 1 1 1 1 1 1 1 1 1 1 0 1 11 0 0 0 1 1 1 1 1 1 1 1 0 11 0 0 1 1 1 1 1 1 1 1 1 1 0输输 出出0 0 0 0 0 1 1 1 1 1 1 1 1 10 0 0 1 1 0 1 1 1 1 1 1 1 17 . 8 . 3 显显示示译码译码器器 在数字在数字在数字在数字电电路中,路中,路中,路中,经经常需求把运算常需求把运算常需求把运算常需求把运算结结果用十果用十果用十果用十进进制数制数制数制数显显示出来,

55、示出来,示出来,示出来,这这就要用就要用就要用就要用显显示示示示译码译码器。器。器。器。二二 十十进进制制代代码码译译码码器器器器驱驱动动器器器器显显示示示示器器器器gfedcba1 1半导体显示器半导体显示器 由七段发光二极管构成由七段发光二极管构成例:例: 共阴极接法共阴极接法a b c d e f g 0 1 1 0 0 0 01 1 0 1 1 0 1低低电平平常常发光光高高电平平常常发光光共阳极接法共阳极接法abcgdef+ +共阴极接法共阴极接法abcdefg2 2七段显示译码器七段显示译码器YA0A1A2数码显示译码器数码显示译码器译译码码器器YYYYYY驱驱动动器器YYYYYY

56、YA3a数码显示器数码显示器bcdefgbcdefgabcdefga将输入的将输入的 BCD 码译成相应输出信号,码译成相应输出信号,以驱动显示器显示出相应数字的电路。以驱动显示器显示出相应数字的电路。 ( (一一) ) 数数码显示示译码器的构造和功能表示器的构造和功能表示0101a数码显示器数码显示器bcdefgYA0A1A2数码显示译码器数码显示译码器译译码码器器YYYYYY驱驱动动器器YYYYYYYA3bcdefgabcdefga输入输入 BCD 码码输出驱动七段数码管显示相应数字输出驱动七段数码管显示相应数字00013 3用于共阴数码管的电路用于共阴数码管的电路74LS4874LS48

57、B1C2LT3RBO4RBI5D6A7GND8911101213141516+UCC 74LS48CT74LS48型译码型译码器的外引线陈列图器的外引线陈列图fgabcdeBI74LS48GNDUccDCBAabdfegcLTRBIRBOBI /CT74LS48型译码型译码器的逻辑符号图器的逻辑符号图控制端控制端:为0 0时,使,使a ag=0,g=0,亮亮“8“8,阐明任明任务正常。正常。LT 灯灯测试端端LT BI灭灭灯灯输输入端入端RBI动态灭动态灭零零输输入端入端控制端功能:控制端功能:动态灭动态灭零零输输出端出端RBO为为0 0时,使时,使a a g = 1 g = 1,全灭。,全灭

58、。BI 为为0 0,且,且DCBADCBA00000000时,使时,使a a g = 1 g = 1,全灭。,全灭。RBI, ,当当RBIRBI0 0且且DCBADCBA00000000时时,RBO,RBO0;0;表示灯已灭表示灯已灭RBO74LS48GNDUccDCBAabdfegcLTRBIRBOBI /0 0 5 6 7 . 9 9 0 00 0 5 6 7 . 9 9 0 0 配合运用,可使多位数字显配合运用,可使多位数字显示时的最高位及小数点后最低位的示时的最高位及小数点后最低位的0 0不显不显示示RBI和和RBO表表7.5.4 74LS48七段七段译码器功能表器功能表/十进制数或功

59、能输 入输 出显示 D C B Aa b c d e f g0123456789111111111110 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 1111111111 1 1 1 1 1 00 1 1 0 0 0 01 1 0 1 1 0 11 1 1 1 0 0 10 1 1 0 0 1 11 0 1 1 0 1 10 0 1 1 1 1 11 1 1 0 0 0 01 1 1 1 1 1 11 1 1 0 0 1 10123456789灭灯灭零试灯100 0 0 0 0 0010 0 0 0

60、 0 0 00 0 0 0 0 0 01 1 1 1 1 1 1全灭全灭8交通交通讯号灯缺点号灯缺点检测电路路交通交通讯号灯在正常情况下,号灯在正常情况下,红灯灯(R)亮亮停停车,黄灯黄灯黄灯黄灯(Y)(Y)亮亮亮亮预备预备,绿绿灯灯灯灯(G)(G)亮亮亮亮通行。正通行。正通行。正通行。正常常常常时时,只需一个灯亮。假,只需一个灯亮。假,只需一个灯亮。假,只需一个灯亮。假设设灯全不亮或全亮或灯全不亮或全亮或灯全不亮或全亮或灯全不亮或全亮或两个灯同两个灯同两个灯同两个灯同时时亮,都是缺点。亮,都是缺点。亮,都是缺点。亮,都是缺点。解:解:解:解:灯亮灯亮灯亮灯亮 “1 “1 “1 “1表示,灯表

61、示,灯表示,灯表示,灯灭灭 “0 “0 “0 “0表示,表示,表示,表示,缺点缺点缺点缺点 “1 “1 “1 “1表示,正常表示,正常表示,正常表示,正常 “0 “0 “0 “0表示,表示,表示,表示,输入信号三个,入信号三个,输出信号一个出信号一个7.9 运用运用举举例例 1 列列逻辑形状表形状表 2 2 写出写出写出写出逻辑逻辑表达式表达式表达式表达式3 3 化化化化简简可得可得可得可得: :为为减少所用减少所用减少所用减少所用门门数数数数, ,将上式将上式将上式将上式变换为变换为: : 0 0 0 1 R Y G F R Y G F 0 0 1 0 0 1 0 0 0 1 1 1 1 0

62、 0 0 1 0 1 1 1 1 0 1 1 1 1 1 4 4 画画画画逻辑图逻辑图逻辑图逻辑图FGYR&11&11KAKAKAKA 发发生缺点生缺点生缺点生缺点时时,F=1F=1,晶体管,晶体管,晶体管,晶体管导导通通通通, , 继电继电器器器器KAKA通通通通电电,其触点,其触点,其触点,其触点闭闭合合合合, , 缺点指示灯亮。缺点指示灯亮。缺点指示灯亮。缺点指示灯亮。多路缺点多路缺点报警警电路路本章小结本章小结分析数字分析数字电路的数学工具是路的数学工具是逻辑代数,它的代数,它的定律有的和普通代数定律有的和普通代数类似,如交似,如交换律、律、结合合律和第一种方式的分配律;但很多与普通代

63、律和第一种方式的分配律;但很多与普通代数不同,如吸收律和摩根定律。数不同,如吸收律和摩根定律。须留意:留意:逻辑代数中无减法和除法。代数中无减法和除法。 逻辑函数和函数和逻辑变量的取量的取值都只需两个,都只需两个,即即 0 或或 1。须留意:留意:逻辑代数中的代数中的 0 和和 1 并并不表示数量大小,不表示数量大小,仅用来表示两种截然不用来表示两种截然不同的形状。同的形状。 正正逻辑体制体制规定高定高电平平为逻辑 1、低、低电平平为逻辑 0;负逻辑体制那么体制那么规定低定低电平平为逻辑 1、高高电平平为逻辑 0。未加。未加阐明那么默以明那么默以为正正逻辑体制。体制。 根根本本逻辑运运算算有有

64、与与运运算算(逻辑乘乘)、或或运运算算(逻辑加加) 和和非非运运算算(逻辑非非)3 种种。常常用用复复合合逻辑运运算算有有与与非非运运算算、或或非非运运算算、与与或或非非运运算算、异异或或运运算和同或运算。算和同或运算。 与运算与运算或运算或运算非运算非运算 Y=AB 或或 Y=AB假设有假设有 0 出出 0假设全假设全 1 出出 1 Y=AB 假设有假设有 1 出出 1假设全假设全 0 出出 0 与非运算与非运算或非运算或非运算与或非运算与或非运算有有 0 出出 1;全全 1 出出 0有有 1 出出 0;全全 0 出出 1相异出相异出 1一样出一样出 0一样出一样出 1相异出相异出 0异或运

65、算异或运算同或运算同或运算逻辑函数常用的表示方法有:真函数常用的表示方法有:真值表、表、逻辑函数式、和函数式、和逻辑电路路图。 不同表示方法各有特点,适宜不同的运用。不同表示方法各有特点,适宜不同的运用。真真值表通常用于分析表通常用于分析逻辑函数的功能、根据函数的功能、根据逻辑功能要求建立功能要求建立逻辑函数和函数和证明明逻辑等式等。等式等。逻辑式便于式便于进展运算和展运算和变换。在分析。在分析电路路逻辑功能功能时,通常首先要根据,通常首先要根据逻辑图写出写出逻辑式;式;而而设计逻辑电路路时需求先写出需求先写出逻辑式,然后才干式,然后才干画出画出逻辑图。逻辑图是分析和安装是分析和安装实践践电路

66、的根据。路的根据。 真真值表、表、逻辑式、和式、和逻辑图之之间可相互可相互转换 (1)(1)找出函数找出函数值为值为 1 1 的的项项。(2)(2)将将这这些些项项中中输输入入变变量取量取值为值为 1 1 的用原的用原变变量替代,量替代, 取取值为值为 0 0 的用反的用反变变量替代,那么得到一系列与量替代,那么得到一系列与项项。(3)(3)将将这这些与些与项项相加即得相加即得逻辑逻辑式。式。真真值表表逻辑式式(1)(1)按按 n n 位二位二进进制数制数递递增的方式列出增的方式列出输输入入变变量的各量的各 种取种取值组值组合。合。 (2)(2)分分别别求出各种求出各种组组合合对应对应的的输输

67、出出逻辑值逻辑值填入表格。填入表格。 逻辑式式真真值表表根据根据电路逐路逐级写出相写出相应逻辑运算。运算。 将各将各级逻辑运算用相运算用相应逻辑门去去实现。 逻辑式式逻辑图逻辑图逻辑式式组合合逻辑电路指任一路指任一时辰的辰的输出出仅取决于取决于该时辰辰输入信号的取入信号的取值组合,而与合,而与电路原路原有形状无关的有形状无关的电路。它在路。它在逻辑功能上的特功能上的特点是:没有存点是:没有存储和和记忆作用;在作用;在电路构造路构造上的特点是:由各种上的特点是:由各种门电路路组成,不含成,不含记忆单元,只存在从元,只存在从输入到入到输出的通路,出的通路,没有反响回路。没有反响回路。 组合合逻辑电

68、路的描画方法主要有路的描画方法主要有逻辑表达式、表达式、真真值表、和表、和逻辑图等。等。 组合合逻辑电路的根本路的根本设计方法是:根据方法是:根据给定定设计义务进展展逻辑笼统,列出真,列出真值表,然后表,然后写出写出输出函数式并出函数式并进展适当化展适当化简和和变换,求出,求出最最简表达式,从而画出最表达式,从而画出最简( (或称最正确或称最正确) )逻辑电路。路。组合合逻辑电路的根本分析方法是:根据路的根本分析方法是:根据给定定电路逐路逐级写出写出输出函数式,并出函数式,并进展必要的化展必要的化简和和变换,然后列出真,然后列出真值表,确定表,确定电路的路的逻辑功能。功能。编码器、器、译码器、

69、和加法器等是常用的器、和加法器等是常用的组合合逻辑部件,学部件,学习时应重点掌握其重点掌握其逻辑功能及运用。功能及运用。译码器的作用是将表示特定意器的作用是将表示特定意义信息的二信息的二进制代制代码翻翻译出来,常用的有二出来,常用的有二进制制译码器、器、二二- -十十进制制译码器和数器和数码显示示译码器。器。编码器的作用是将具有特定含器的作用是将具有特定含义的信息的信息编成成相相应二二进制代制代码输出,常用的有二出,常用的有二进制制编码器、二器、二- -十十进制制编码器和器和优先先编码器。器。加法器用于加法器用于实现多位加法运算,其多位加法运算,其单元元电路有路有半加器和全加器;其集成半加器和全加器;其集成电路主要有串行路主要有串行进位位加法器和超前加法器和超前进位加法器。位加法器。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号