2022年报时式数字钟

上传人:m**** 文档编号:567267874 上传时间:2024-07-19 格式:PDF 页数:14 大小:508.81KB
返回 下载 相关 举报
2022年报时式数字钟_第1页
第1页 / 共14页
2022年报时式数字钟_第2页
第2页 / 共14页
2022年报时式数字钟_第3页
第3页 / 共14页
2022年报时式数字钟_第4页
第4页 / 共14页
2022年报时式数字钟_第5页
第5页 / 共14页
点击查看更多>>
资源描述

《2022年报时式数字钟》由会员分享,可在线阅读,更多相关《2022年报时式数字钟(14页珍藏版)》请在金锄头文库上搜索。

1、0 目录一、任务要求. 1二、设计方法. 1三、设计过程. 2四、软件介绍. 6五、原理图与仿真结果. 7六、调试过程. 10 七、实习体会. 11 八、参考文献. 12 九、元器件及功能介绍. 12 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 1 页,共 14 页 - - - - - - - - - 1 一、任务要求设计并制作一台能显示小时、分、秒的数字钟。具体要求如下:1、完成带时、分、秒显示的24h 计时功能;2、能完成整点报时功能,要求当数字钟的分和秒计数器计到59min

2、52s 时,驱动音响电路,四高一低,最后一声高声结束,整点时间到;3、完成对“时”和“分”的校时,并能对秒计数器清零。二、设计方法该数字钟由振荡器、分频器、秒计数器、分计数器、小时计数器、校时电路、报时电路和显示电路等几部分组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒脉冲信号送入“秒计数器”,该计数器采用 60 进制计时器。每累计60s 发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”业采用60 进制计数器,每名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - -

3、 - - - - 名师精心整理 - - - - - - - 第 2 页,共 14 页 - - - - - - - - - 2 累计 60min,发出一个“时脉冲”信号,该信号将被送到“时计数器”。 “时计数器”采用24进制计数器,可实现对一天24h 的累计。整点报时电路是根据计时系统输出状态产生一个脉冲信号,然后去触发音频发声器实现报时。校时电路是用来对“时”、 “分”显示数字进行校对调整。计数器清零是对“秒计数器”进行清零。其组成框图如图1 所示。图 1 数字钟组成框图三、设计过程1、秒、分、时计数器电路设计秒、分计数器为 60 进制计数器, 小时计数器为 24 进制计数器。 实现这两种模数

4、的计数器采用中规模集成计数器74LS160 ,分两级构成。下面讨论 60 和 24 进制计数器的电路构成和工作原理。(1)60 进制计数器由 74LS160构成的 60进制计数器如图 2 所示。 将一片 74LS160设置成 10 进制加法计数器,另一片设置成 6 进制加法计数器。两片 74LS160按同步置数发串接而成。秒计数器的十位和个位,输出脉冲除用作自身清零外,同时还作为分计数器的输入脉冲。图2电路,既可以作为秒译码显示电路小时计数器分计数器秒计数器分频器报时电路校时电路校时名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - -

5、 名师精心整理 - - - - - - - 第 3 页,共 14 页 - - - - - - - - - 3 计数器,业可作为分计数器。(2)24 进制计数器同理当个位计数状态为Q3Q2Q1Q0=0011,十位计数器状态为Q3Q2Q1Q0=0010时,要求计数器规零。通过把个位Q0 Q1、十位 Q1进入与非门后的信号送到个位、十位计数器的置数端,使计数器清零,从而构成24 进制计数器,如图3 所示。图 2 60 进制计数器名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 4 页,共 1

6、4 页 - - - - - - - - - 4 图 3 24 进制计数器2、校时电路校时电路时数字钟不可缺少的部分,每当数字钟显示与实际时间不符时,需要根据标准时间进行校时。 简单有效的校时电路如图4 所示。该电路针对分计时脉冲和时计时脉冲进行控制,达到校时的目的。控制后对应的分计时脉冲位CM ,时计时脉冲位CH 。或非门的输出和与门的输出接入一个或门来控制分计数器脉冲输入端。脉冲信号置1 时,正常工作;置 0 时,实现对分的校对,每来一个上升沿,分计数器就向上加一进行校时。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精

7、心整理 - - - - - - - 第 5 页,共 14 页 - - - - - - - - - 5 图 4 校时电路3、整点报时电路一般时钟都应具备整点报时电路功能, 即在时间出现整点前数秒内, 数字钟会自动报时 , 以示提醒。根据要求 , 电路应在整点前 10 秒钟内开始整点报时 , 即当时间在 59 分 50 秒到 59 分 59 秒期间时 , 报时电路报时控制信号。当数字钟的分和秒计数器计到59min51s 时,驱动音响电路,四高一低,最后59min59s 一声高声结束,整点时间到。高声接入1024Hz的高频信号,低声加入512Hz的低频信号给以控制。名师资料总结 - - -精品资料欢

8、迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 6 页,共 14 页 - - - - - - - - - 6 图 5 报时电路四、软件介绍Max+plus II是 Altera公司提供的第三代 PLD开发系统。 Altera是世界上最大可编程逻辑器件的供应商之一。Max+plus II界面友好,使用便捷,在 Max+plus II上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程。主要特点介绍如下。1、开放的界面Max+plus II支持 Cadence,Exemplarlogic,Mentor Gr

9、aphics,Synplicty,Viewlogic和其他公司所提供的EDA 工具接口。2、与结构无关名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 7 页,共 14 页 - - - - - - - - - 7 Max+plus II系统的核心 Complier 支持 Altera公司的 FLEX10K 、FLEX8000 、FLEX6000 、MAX9000 、MAX7000 、MAX5000 和 Classic 可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。

10、使用者无需精通器件内部的复杂结构,只需用自己熟悉的设计输入工具,如原理图或硬件描述语言进行设计。Max+plus II将这些设计转换为目标结构所需求的格式,设计处理一般在数分钟内完成。3、完成集成化Max+plus II的设计输入、处理与校验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。4、丰富的设计库Max+plus II提供丰富的库单元供设计者调用,其中包括74 系列的全部器件和多种特殊的逻辑功能(Macro-Function )以及新型的参数化的兆功能( Mage-Function )模块库。 Max+plus II软件还允许设计人员添加自己认为有价值的宏功能模块,

11、充分利用这些逻辑功能模块,可大大减少设计工作量。5、模块工具化设计人员可以从各种设计输入、处理和校验选项中进行选择从而是使设计环境用户化。6、硬件描述语言Max+plus II软件支持各种硬件描述语言(HDL)设计输入选项,包括VHDL 、VerilogHDL 和 Altera自己的硬件描述语言ADHL 。五、原理图与仿真结果原理图如下:名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 8 页,共 14 页 - - - - - - - - - 8 名师资料总结 - - -精品资料欢迎下

12、载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 9 页,共 14 页 - - - - - - - - - 9 仿真结果如下:在秒计数器输入端cp 加入时钟脉冲信号,进行仿真。名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 10 页,共 14 页 - - - - - - - - - 10 六、调试过程名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - -

13、名师精心整理 - - - - - - - 第 11 页,共 14 页 - - - - - - - - - 11 七、实习体会通过这次设计, 进一步加深了对 EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。在此次的数字钟设计过程中, 更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。在连接二十四进制 , 六十进制的进位及接法中 , 要求熟悉逻辑电路及其芯片各引脚的功能, 那么在电路出错时便能准确地找出错误所在并及时纠正了。在此过程,遇到了不少问题,特别是各元件之间的连接总是有错误,在细心的检查下,在设计电路的连接图中出错的主要原因

14、都是接线和芯片的接触不良以及接线的错误所引起的,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示,在设定输入的时钟信号后,数字钟开始计数,但是始终看不到秒、分、小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号说太短了。经过屡次调试,终于找到了比较合适的输入数值。总的来说,通过本次课设,我不仅学到了关于EDA 的许多专业知识,而且强化了自己原有的知识体系也扩展自己的思维。通过思考、发名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理

15、 - - - - - - - 第 12 页,共 14 页 - - - - - - - - - 12 问、自己解惑并动手、改进的过程,才能真正的完成课题。这次设计的数字钟还是比较成功的,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。八、参考文献1、康华光电子技术基础数字部分(第四版)北京:高等教育出版社, 2000 2、阎石 数字电子技术基础(第四版)北京:高等教育出版社,1998 3、何小艇电子系统设计杭州:浙江大学出版社,2001 4、赵立民可编程

16、逻辑与数字系统设计北京:机械工业出版社,2004 5、Altera Corporation Data Book,1996 九、元器件及功能介绍所用元器件:直流电源、或门、与门、非门、与非门、74LS160计数器74LS160 是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器, 功能表如下:表 1 74ls160功能表输入输出CR LD CTPCTTCP D0D1D2D3Q0Q1Q2Q3L L L L H L d0d1d2d3d0d1d2d3H H H H 计数H H L 保持H H L 保持名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 13 页,共 14 页 - - - - - - - - - 13 管脚结构如下:Q0 Q1 Q2 Q3C S1 S274LS160CP D0 D1 D2 D3LD RD名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 14 页,共 14 页 - - - - - - - - -

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号