基于FPGA的神经网络硬件可重构的实现和研究.doc

上传人:汽*** 文档编号:558489987 上传时间:2023-06-07 格式:DOC 页数:3 大小:17KB
返回 下载 相关 举报
基于FPGA的神经网络硬件可重构的实现和研究.doc_第1页
第1页 / 共3页
基于FPGA的神经网络硬件可重构的实现和研究.doc_第2页
第2页 / 共3页
基于FPGA的神经网络硬件可重构的实现和研究.doc_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《基于FPGA的神经网络硬件可重构的实现和研究.doc》由会员分享,可在线阅读,更多相关《基于FPGA的神经网络硬件可重构的实现和研究.doc(3页珍藏版)》请在金锄头文库上搜索。

1、0.1课题背景智能计算技术的进步总是离不开人工智能,特别是人工神经网络以下简称神经网络技术的发展。神经网络系统研究的重要意义已为许多科学家所承认,由于其信息处理机制的成功应用,实际上已成为智能信息处理的主要技术之一,是智能计算发展的一个主流方向。神经网络经历了近为多年的迅速发展,它所具备的独特知识表示结构和信息处理的原则,使其在许多应用领域取得了显著的进展,能够为解决一些传统计算机极难求解的问题提供满意的解,或者为寻求满意解提供全新的思路。神经网络是由简单的非线性处理单元分层排列所组成的大规模并行分布式网络,在知识获取与知识储存方面与人脑有着惊人的相似性。并行性、模块性和自适应性是神经网络所具

2、备的三个典型计算特性。由于可以利用的并行性和快速重构技术来适应神经网络的权值和拓扑结构,基于FPGA的可重构计算体系结构很适于实现人工神经网络。神经网络的FPGA实现自1992年的一篇关于神经网络FPGA实现的文章1以来取得了进步,但由于神经网络的算法比较复杂,细粒度器件的价格相对来讲比较昂贵,使得采用毛来实现大规模神经网络仍存在难题。正是由于人工神经网络是一门新兴的学科,它在理论、模型、算法、应用和时限等方面都还有很多空白点需要努力探索、研究、开拓和开发。因此,许多国家的政府和企业都投入了大量的资金,组织大量的科学和技术专家对人工神经网络的广泛问题立项研究。从人工神经网络的模拟程序和专用芯片

3、的不断推出、论文的大量发表以及各种应用的报道可以看到,在这个领域里一个百花气放、百家争鸣的局面已经形成。在进行神经网络的理论研究时,人们可以将自己的神经网络模型或算法在通用的串行或并行计算机上编程实现,但这只是研究的手段而绝非目的,在构造实际的神经网络应用系统时,必然要考虑到硬件实现问题,特定应用下的高性能专用神经网络硬件是神经网络研究的最终目标。为此我们基于FPGA器件进行了这方面的探索工作。0.2国内外研究状况及趋势神经网络来自人类对自己的研究,起始于19世纪末20世纪初,在经历60多年的发展历史过程中,有发展到高潮阶段也经历过低潮阶段:60年代,当时有几家公司和研究机构试图用硬件来实现神

4、经元,最为著名的便是感知机和ADALINE神经网络模型的实现。当时CornellAeronautical实验室(现在名为CALSPAN Corporation)的Rosenblatt从理论上和实现上描述了感知机。ADALINE神经网络模型则是由斯坦福人学的Widrow提出的。感知机和ADALINE均为单个神经元模型,它们均能接受多路输入,并能自适应地修改与这些输入相对应的突触强度(互连权值),然而它们在实现上有所不同。在感知机中,其突触强度通过一种电子机械的方法来改变(通过一个电动马达的转动来改变突触强度),这种实现有许多缺点,如体积大,价格高,运行不可靠等。Widrow的ADALINE实现中

5、则用电阻的方法来表示突触强度。1965年至1980年,除Adaptronics Corp(现为Division of Flow General Crop)之外,其中一些研究实体在神经网络实现上没有做更多的工作。Adaptronics的Louis Gilstrap和Roger Barron在1974年开发了第一块神经元芯片。这种很一般的芯片上只包含一个神经元,具有多个输入端且其互连强度可以自适应的改变。要构造具有多个神经元的网络,只需将许多这种芯片在插件板上排列并互连起来就可以了。将许多这种插件板构建在一起便可满足神经网络在商业和军事上的许多应用目的。1982年美国的物理学家Hopfield发表

6、论文,提出神经网络的一种数学模型,即Hopfield神经网络模型,并研究了它的动力学性质。两年以后,他设计出了电子线路模拟这种网络的性质,认为这种网络可以解决数学上著名的TSP问题(Traveling Salesman Problem)。次年,美国加州理工学院和贝尔实验室合作研制成256个神经元(在四分之一平方英寸芯片上,由2.5*104个晶体管和1.0*105个电阻集成)和64个可编程神经元。神经网络实现的另一种方法是在传统计算机上通过编写支持软件来模拟神经网络计算。这种软件模拟神经计算机很有代表性的是:1983年RobertHechtNielsen和Todd Gutschow在TRW的AI

7、中心所推出的Mark I及改进MarkII;1986年Zipser和Rabin所推出的P3系统:1987年Rochester大学推出的RCS;1988年Maryland大学的MIRRORS系统;国防科技大学于1990年推出的性能可与上述系统媲美的GKD-NNSS软件模拟神经计算机。为了解决软件模拟神经计算机处理速度慢的问题,可以在通用机上插入神经网络加速板,形成神经计算机工作站。1985年TRW公司推出了Mark III神经计算机,Mark III包含有8个处理板,可以并行操作,每个处理板可以仿真1064个虚拟的神经元。随后TRW公司在1985年至1986年又开发出了Mark IV神经计算机。

8、在研制神经网络加速板的基础上,为更好地支持神经计算,一种更好的实现方法便是构成神经网络专用并行处理阵列机。这些系统的处理速度和神经网络加速板相比,有明显提高。在进行神经网络的研究中,要使神经网络在实际中能进行有效的应用,或是支持更好的神经网络研究,神经网络实现技术必须能够支持大规模的神经网络模型的神经计算,同时要能尽可能地缩短神经计算的时间,达到实时的水平。但是现有的各种神经网络软件模拟环境所能支持模拟神经网络模型的规模及神经计算速度都还远远达不到研究和应用所需要的水平。因此,开展大规模的硬件实现的神经网络计算机的研制势在必行。0.3课题研究的目的和意义人工智能的方法和技术已经用于解决很多领域

9、的问题,并取得了一定的成就。人工神经网络是人工智能领域的重要分支,而神经网络的硬件实现是神经网络研究的基本问题之一。从对神经网络进行理论探讨的角度,可以通过计算机仿真途径来模拟实现特定的神经网络模型或算法,但在构造神经网络的实际应用系统时,必然要研究和解决其硬件实现问题。神经网络专用硬件可提供高速度,并具有比通用串、并行机高得多的性价比,所以特定应用下的高性能专用神经网络硬件是神经网络研究的最终目标。0.4本课题的主要工作和内容安排本文主要从BP神经网络的算法入手,因为BP神经网络的算法比较复杂,所以将其划分为3个可重构的单元。用十级流水结构,讲神经网络与FPGA硬件相结合。通过建立DC/DC

10、变换器被控对象验证该神经网络控制器的可行性。各章主要安排如下:第一章绪论介绍了课题研究背景,国内外研究现状,以及本文主要内容与结构框架安排。第二章介绍了神经网络的选型以及FPGA器材、软件的的选择。介绍了BP神经网络的算法基础原理以及其实现的主要方法,对不同方法进行比较,进一步阐述可重构技术原理,最后给出FPGA的开发流程。第三章以DC/DC BUCK变换器为被控对象,设计1-3-1结构的神经网络控制器,对该控制器进行仿真验证。第四章基于VHDL语言编程实现BP神经网络控制器,给出该控制器的开发流程。第五章设计10级网络流水线结构以实现BP神经网络可重构设计方案,从BP神经网络的可重构行出发,给出MAC模块,ROM模块,WU模块的仿真模型并进行仿真验证。第六章结论与展望,对前面的工作进行总结,并展望未来的研究工作。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 社会民生

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号