基于FPGA的智能交通灯的设计毕业论文

上传人:公**** 文档编号:553922201 上传时间:2022-11-02 格式:DOC 页数:23 大小:489.98KB
返回 下载 相关 举报
基于FPGA的智能交通灯的设计毕业论文_第1页
第1页 / 共23页
基于FPGA的智能交通灯的设计毕业论文_第2页
第2页 / 共23页
基于FPGA的智能交通灯的设计毕业论文_第3页
第3页 / 共23页
基于FPGA的智能交通灯的设计毕业论文_第4页
第4页 / 共23页
基于FPGA的智能交通灯的设计毕业论文_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《基于FPGA的智能交通灯的设计毕业论文》由会员分享,可在线阅读,更多相关《基于FPGA的智能交通灯的设计毕业论文(23页珍藏版)》请在金锄头文库上搜索。

1、 目 录摘 要I1 前言12 交通红绿灯控制电路的发展与技术现状22.1 交通控制系统以及交通红绿灯控制电路的发展现状22.2 智能交通红绿灯控制电路技术的现状33 VHDL、FPGA、Quartus ii简介43.1 VHDL简介43.1.1 VHDL简介43.1.2 VHDL语言的特点53.2 FPGA简介63.2.1 PLD器件的设计特点73.2.2 FPGA的基本结构83.2.3 采用FPGA设计逻辑电路的优点93.3 Quartus II 的简介104 具体方案论证与设计114.1 具体方案论证114.2系统算法设计134.3 具体电路原理图144.4 电路仿真图145 实验结果15

2、总 结15参考文献16附录:1818 基于FPGA的十字路口交通信号灯 摘 要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在Quartus下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通

3、信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using ha

4、rdware description language designed for the traffic light controller.Focus on various parts of the control system design, and synchronization between various modules.In order to overcome the traffic signal control system of traditional design drawbacks, more responsive to urban traffic situation, t

5、he use of VHDL language, the use of hierarchical hybrid approach, designed with three kinds of lights and countdown display traffic light control system, in the Quartus under simulatedand downloaded to the FPGA, made into the actual hardware to run the simulation circuit. use this method of traffic

6、light control system design of the circuit is simple, reliable, easy to implement, can be realized on the traffic signal control and display functions. Key wordsHDPLD; Crossing traffic lights; Controller; Vehicle pedestrian safety 1 前言城市交通是一个集经济性与社会公益性于一体的领域,包括管理体制、城市规划布局、投融资体制、交通方式选择、公共交通运营组织、交通需求管

7、理、交通流量控制与管理等等方面的内容,涉及到管理、法规、规划、工程、TRANBBS技术、财政、教育、环境、能源、信息以及人文等社会经济诸多学科领域。这些方面集成在一起形成一个错综复杂的城市交通大系统。系统问题就必须通过系统方法来解决,解决系统问题的基本方法是建立有效的信息传导、控制和反馈机制,实现各子系统联动从而提高整体系统有效运行并实现其目标。从这个意义上讲,城市交通问题的核心就是如何建立一个有效的管理机制,保证各子系统及系统要素有机衔接与互动,从而实现城市交通系统的良性运转。随着社会经济发展和城市人口的膨胀,中国大中城市交通均面临着诸多问题,其中特别突出的是交通拥堵。拥堵的频繁发生和严重程

8、度已经严重影响了城市的正常运转,给城市居民带来生活不便,衍生交通事故,加剧了城市环境污染。引起拥堵的原因为交叉口运行不畅;路网布局不合理;交通结构不合理;交通秩序不佳。交通拥堵的发生使汽车被迫减速和加速,甚至停车,导致了汽车行驶油料消耗和废气排放增加,根据国外有关资料和国内环境专家研究成果表明,机动车在怠速状态下所排放的一氧化碳、氮氧化物是正常行驶状态下的35倍。因此拥堵加大了对城市环境的污染。通过采取有效措施的实行和实施交通新技术,将有利于缓解交通拥堵状况,从而节约城市能源和降低环境污染。2 交通红绿灯控制电路的发展与技术现状2.1 交通控制系统以及交通红绿灯控制电路的发展现状 随着社会经济

9、的发展,城市交通问题越来越引起人们的关注。出行交通的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。 随着城市机动车量的不断增加,许多城市出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况

10、的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。 车辆的数量持续增加,不断新建道路已不能很好地解决交通拥堵的现状,这还需要好的交通疏导,但交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。智能交通红绿灯控制电路是用于城市交通疏导的管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。智能的交通信号灯指挥着人和各种车辆的安

11、全运行,实现红、黄、绿灯的自动指挥是城乡交通管理现代化的重要课题.在城乡街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯.交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口城乡交通管理自动化.2.2 智能交通红绿灯控制电路技术的现状 通信号控制系统大体上分为三种类型:定周期的信号机、多时段且具有无电缆协调功能的微电脑型信号机以及联网式自适应多相位智能型信号机。具体采用哪种类型,应根据其应用场合及特点加以确定。其中,第一种类型以其成本低,设计简单,安装及维护方便等特点得到了广泛应用。本文讨论的城乡交通

12、灯控制系统就属于该种类型。而随着各种控制器件的推出,交通灯控制电路得以更易实现并趋向智能化。 交通灯控制系统主要由时间发生器电路、光电检测电路、控制电路等几个部分组成。 目前设计交通灯的方案有很多,有应用CPLD设计实现交通信号灯控制器方法;有应用PLC实现对交通灯控制系统的设计;有应用单片机实现对交通信号灯设计的方法。目前,国内的交通灯一般设在十字路门,在醒目位置用红、绿、黄三种颜色的指示灯。加上一个倒计时的显示计时器来控制行车。对于一般情况下的安全行车,车辆分流尚能发挥作用,但根据实际行车过程中出现的情况,还存在以下缺点:1两车道的车辆轮流放行时间相同且固定, 在十字路口,经常一个车道为主

13、干道,车辆较多,放行时间应该长些;另一车道为副干道,车辆较少,放行时间应该短些。2没有考虑紧急车通过时,两车道应采取的措施,臂如,消防车执行紧急任务通过时,两车道的车都应停止,让紧急车通过。这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。3 VHDL、FPGA、Quartus ii简介3.1 VHDL简介3.1.1 VHDL简介VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardware Description Language,诞生于 1982 年。1987 年底,VHDL被 IEEE 和美国国防

14、部确认为标准硬件描述语言。 VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。 3.1.2 VHDL语言的特点VHDL 语言能够成为标准化的硬件描述语言并获

15、得广泛应用 , 它自身必然具有很多其他硬件描述语言所不具备的优点。归纳起来 ,VHDL 语言主要具有以下优点: (1)VHDL 语言功能强大 , 设计方式多样 VHDL 语言具有强大的语言结构, 只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。同时, 它还具有多层次的电路设计描述功能。此外 ,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现, 这是其他硬件描述语言所不能比拟的。VHDL 语言设计方法灵活多样 , 既支持自顶向下的设计方式, 也支持自底向上的设计方法; 既支持模块化设计方法, 也支持层次化设计方法。 (2) VHDL 语言具有强大的硬件描述能力.VHDL 语言具有多层次的电路设计描述功能,既可描述系统级电路 , 也可以描述门级电路;描述方式既可以采用行为描述、寄存

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号