EDA技术课程标准---张鹏

上传人:cn****1 文档编号:549208225 上传时间:2023-02-13 格式:DOC 页数:13 大小:169.50KB
返回 下载 相关 举报
EDA技术课程标准---张鹏_第1页
第1页 / 共13页
EDA技术课程标准---张鹏_第2页
第2页 / 共13页
EDA技术课程标准---张鹏_第3页
第3页 / 共13页
EDA技术课程标准---张鹏_第4页
第4页 / 共13页
EDA技术课程标准---张鹏_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《EDA技术课程标准---张鹏》由会员分享,可在线阅读,更多相关《EDA技术课程标准---张鹏(13页珍藏版)》请在金锄头文库上搜索。

1、EDA技术课程标准课程名称:EDA技术计划学时: 42学时适用专业:应用电子技术开设学期:第五学期制 订:张鹏审 定:一、前言1.课程性质EDA技术课程是高等职业技术学院应用电子技术、通信技术等专业的必修的专业课程。EDA技术课程是承上启下的一门关键课程,EDA技术是以计算机为工作平台、以硬件描述语言(VHDL/Verilog HDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要的元件建模和系统仿真的电子产品自动化设计过程。它是一种高级、快速、有效的电子设计自动化工具。掌握EDA技术是培养高素质高技能电子产品设计人才的需要,是现代集成电路

2、及电子整机系统设计科技创新和产业发展的关键技术。掌握EDA技术,是走向市场、走向社会、走向国际的基本技能。开展EDA技术与应用教学,适应电子系统日趋数字化、复杂化和大规模集成化发展的需要,满足社会对高技能人才日益增长的需求,为创新性人才的培养打下良好基础己迫在眉睫。根据上述设想决定开设EDA技术课程,并定名为EDA技术与应用。2.课程设计思路本课程通过EDA技术与应用专业教室的环境,为学生提供“用理论及时指导实践,用实践验证理论”良好机会,有利于提高教学效果;同时由于专业教室在设置时就充分考虑了行业的主流技术以及岗位能力的需求,学生在专业教室学习,即可直接获取综合职业技能,利于实现以就业为导向

3、的培养目标。本课程的教学中注重理论教学与实践教学相结合,将教学内容的知识点分为了解、理解、掌握、熟悉几个层次,将技能和能力实践分为学会、懂得、熟练几个层次,以实例讲解基本理论,加强现场技能培训、重点培养学生的掌握综合电路设计能力。突出新技术、新知识、新技能、新产品的学习。重点分析具有代表性的典型应用,将传授知识和技能贯穿与实践指导中,避免成为单一的讲授或单一的操作指导。通过安排典型电路实例的安装制作,综合地应用理论知识学习和对实际电路的认识,达到对常用EDA工具的使用与VHDL语言的编程方法的全面认识和把握。本课程标准的总体设计思路:变三段式课程体系为任务引领型课程体系,打破传统的文化基础课、

4、专业基础课、专业课的三段式课程设置模式,紧紧围绕完成工作任务的需要来选择课程内容;变知识学科本位为职业能力本位,打破传统的以“了解”、“掌握” 为特征设定的学科型课程目标,从“任务与职业能力”分析出发,设定职业能力培养目标;变书本知识的传授为动手能力的培养,打破在传统的知识传授方式,以“工作项目”为主线,创设工作情景,结合职业技能证书考证,培养学生的实践动手能力。课程标准以应用电子技术专业学生的就业为导向,根据行业专家对应用电子专业所涵盖的岗位群进行的任务和职业能力分析,以应用电子技术主线,以本专业应共同具备的岗位职业能力为依据,遵循学生认知规律,紧密结合职业资格证书中的中相关考核项目,确定本

5、课程的工作模块和课程内容。为了充分体现任务引领、实践导向课程思想,将本课程的教学活动分解设计成若干项目或工作情景,以项目为单位组织教学,以典型设备为载体,引出相关专业理论知识,使学生在实训过程中加深对专业知识、技能的理解和应用,培养学生的综合职业能力,满足学生职业生涯发展的需要。 该学习领域分成3个学习子领域:数字系统设计基础及现代数字系统设计流程、数字电路的EDA设计、数字系统的EDA设计,共9个项目(学习情境)来进行教学。内容的实施,有易中难三种等级,并可分为封闭型、开放型和开发创新型三种模式。对于封闭型的教学,主要采取引导法教学,老师全面指导学生完成任务。开放型强化学生的计划与自查能力,

6、强调学生自学能力的培养、能根据任务书要求自主完成项目设计。开放创新型强化学生独立完成工作过程及创新能力,老师只布置任务,完成任务的方式方法完全由学生自由发挥,老师几乎不做指导。此学习领域完全按照以基于工作过程的教学模式展开教学,以六步法(资讯、计划、决策、实施、检查、评估)对每一个项目进行教学实施,有助于提高学生动手能力、自学能力、创新能力以及岗位能力等各项素质。本课程有助于培养具有较高素养的电子设计与技术应用人员。让学生掌握CPLD/FPGA设计开发的芯片选型、编程、仿真、调试等能力,并使其具有强烈的安全、环保、成本、产品质量、团队合作等意识。前序课程:电工电子技术、电子技术与项目训练、计算

7、机应用。学习电工电子技术等课程使学生具备了常见元器件的识别、基本电路设计、分析能力和读图识图能力,学习计算机应用使学生具备了基本的计算机操作能力。后续课程:DSP应用技术。本课程本身既是一门综合应用专业课程,包含对前面所学的数字电路用CPLD/FPGA器件来设计实现等综合内容,同时又学习数字电路与系统的现代设计开发方法,为学生今后从事数字电路与系统设计提供设计平台,为后续课程打下较好的基础,它起着承前启后的作用。二、课程目标通过任务引领型的项目活动,使学生掌握电子EDA设计技术的基本知识和基本技能,具有逻辑思维能力、学习新技术的能力。能解决生产与应用中的实际问题,完成本专业相关岗位的工作任务。

8、通过对本课程的学习,学生能较好地掌握全新的硬件电子系统的设计技术,更深刻地了解计算机软件语言与硬件语言实现的现代电子系统设计能力。通过理论学习与实践设计锻炼的紧密结合,提高理论与工程实际相结合的能力,为未来进一步的学习和工作实践奠定良好基础。 具体目标为:1职业素质目标 学生在掌握现代数字系统的分析方法和设计特点的同时,要建立以下几个观念:系统的观念:电子产品从信号输入、中间处理到最后的输出,各模块之间的逻辑关系、参数设置和接口要求都是相互协调、相互制约的,只有从系统的角度全面考虑、自上而下地规划才能获得理想效果。培养学生系统集成能力、综合应用能力和计算机仿真能力。 工程的观念:数学、物理的严

9、格论证及精确计算到工程实际应用之间往往有一定差距,工程设计中“忽略次要,抓住主要,满足精度”的方法能引导学生的思维更切合工程实际。 科技进步的观念:数字系统的发展,电子器件的换代,比其它任何技术都快,学习数字系统可以让学生深刻地体会到在科学技术飞速发展的时代,只有不断更新知识,才能不断前进。 创新的观念:通过对硬件描述语言的学习,让学生理解硬件电路可以用软件来实现,还可以通过程序设计修改和调试,硬件软件化可以开阔学生的视野,发挥学生的想象力和创造力。通过撰写项目设计报告,提高学生书面表达能力。有利于创新意识和创新能力的培养。再学习的观念:数字系统设计能力的培养不会是一蹴而就的,需要一个长期的过

10、程。不但在学校里学习,在工作岗位上也要不断学习。协作的观念:通过分组完成项目任务,培养学生团队协作精神,树立诚信意识,锻炼学生沟通交流、自我学习的能力。标准的观念:实训中严格按照国际通行的行业标准的要求控制实训质量,使学生知道:工业标准是怎样的,什么是“可接受的条件”,什么是“过程警示条件”,什么是“缺陷条件”等等。从而树立质量意识和工作的责任心。5S管理的观念:实训过程引进外资企业“整理、整顿、清扫、清洁、素养”的5S的规范和评价体系,促使学生养成良好的职业素养。培养学生的合作意识、协作能力。2职业能力目标能阅读、分析VHDL语言程序,能编写、调试简单的VHDL程序。能正确使用EDA软件MA

11、X+plus(或QuartusII)分析、设计数字电路。能独立使用EDA开发平台,能设计调试具有一定应用价值的数字系统。3知识目标掌握数字系统的相关概念、数字系统的设计方法以及EDA技术的发展趋势。对现代电子设计的新思路有所认识,能够合理的选择可编程逻辑器件、EDA软件和硬件描述语言。掌握硬件描述语言VHDL的语法结构、数据结构、程序结构和编程技巧。要求能够认识、分析VHDL程序,能够编写简单的应用程序。三、课程内容1.学习模块安排及课时分配表学习领域学习情境项目序号项目名称分配学时(H)1.数字系统设计基础及现代数字系统设计流程情境一 传统数数字系统设计方法的突破子任务1数字系统的相关概念、

12、EDA技术概述2子任务2基本门电路的设计2情境二 现代数字系统的设计流程子任务1现代数字系统设计的基本流程2子任务2MAX+plus软件的基本使用22数字电路的EDA设计情境三 数据选择器电路设计子任务1数据选择器的设计4情境四 全加器电路设计子任务1全加器的电路设计2情景五 BCD-7段数码管显示译码器电路设计子任务1BCD-7段数码管软件设计2子任务2BCD-7段数码管硬件调试2情景六 计数译码显示电路设计子任务1计数译码显示电路软件设计2子任务2计数译码显示电路硬件设计2情景七分频器电路设计子任务1使用VHDL语句设计分频器电路2子任务2在Max+PlusII软件平台上调试VHDL程序,

13、并进行功能仿真43数字系统的EDA设计情景八 实用表决系统设计子任务1用VHDL程序编制设计实用表决系统2子任务2在Max+PlusII软件平台上调试实用表决系统VHDL程序,并进行功能仿真;4子任务3能在EDA实验系统上进行硬件验证、测试2情境九 实用彩灯控制系统设计子任务1用VHDL程序编制设计彩灯控制系统2子任务2在Max+PlusII软件平台上调试彩灯控制系统VHDL程序,并进行功能仿真;2子任务3能在EDA实验系统上进行硬件验证、测试2 总 计422.学习情境设计情境一 数字系统设计方法的突破课时:4理论4教学目标教学载体基本要求:了解EDA技术相比传统电路设计流程的优势,了解可编程

14、逻辑器件的结构,生产商,EDA的开发语言各自特点和用途,开发环境和EDA技术的发展趋势。能够对现代数字系统设计的新思路有所认识,理解:1. 能理解数字系统的相关概念、数字系统的设计方法较高要求:能够合理的选择可编程逻辑器件、EDA软件和硬件描述语言多媒体教学软件计算机Max+PlusII软件VHDL程序教学内容教学方法建议基本内容数字系统的相关概念; 字系统的设计方法; EDA技术的发展趋势; 可编程逻辑器件;EDA软件;(6)硬件描述语言。讲授法讨论法案例驱动法情境二 现代数字系统的设计流程课时: 4理论:4实践:教学目标教学载体基本要求:掌握:能在MAX+plus软件开发平台上进行数字系统

15、设计的工作过程。理解:数字系统设计的工作过程。拓展内容:计算机Max+PlusII软件VHDL程序教学内容教学方法建议基本内容 代数字系统设计的基本流程;MAX+plus软件的基本使用。拓展内容:讲授法讨论法案例驱动法情境三 数据选择器电路设计课时: 4理论:4实践:教学目标教学载体基本要求:掌握:图形输入法、文本输入法、软件仿真、硬件仿真理解:较高要求:图形输入法、文本输入法、软件仿真、硬件仿真多媒体教学软件计算机Max+PlusII软件VHDL程序教学内容教学方法建议基本内容(1)VHDL程序的基本结构; VHDL程序的库使用; VHDL程序的实体部分描述; VHDL程序的结构体部分描述; when_else并行语句

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号