毕业设计论文用vhdl语言设计智能抢答器鉴别和计时模块

上传人:M****1 文档编号:511387397 上传时间:2023-01-15 格式:DOC 页数:39 大小:654KB
返回 下载 相关 举报
毕业设计论文用vhdl语言设计智能抢答器鉴别和计时模块_第1页
第1页 / 共39页
毕业设计论文用vhdl语言设计智能抢答器鉴别和计时模块_第2页
第2页 / 共39页
毕业设计论文用vhdl语言设计智能抢答器鉴别和计时模块_第3页
第3页 / 共39页
毕业设计论文用vhdl语言设计智能抢答器鉴别和计时模块_第4页
第4页 / 共39页
毕业设计论文用vhdl语言设计智能抢答器鉴别和计时模块_第5页
第5页 / 共39页
点击查看更多>>
资源描述

《毕业设计论文用vhdl语言设计智能抢答器鉴别和计时模块》由会员分享,可在线阅读,更多相关《毕业设计论文用vhdl语言设计智能抢答器鉴别和计时模块(39页珍藏版)》请在金锄头文库上搜索。

1、 用VHDL语言设计智能抢答器鉴别和计时模块 摘要 伴随着集成电路(IC)技术的发展,EDA技术已经成为现代电子设计的发展趋势,并在各大公司、企事业单位和科研教学部门广泛使用。VHDL是一种全方位的硬件描述语言,几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。本文阐述了EDA的概念和发展、VHDL语言的优点和语法结构并分析讲解了智能抢答器的各模块的功能要求、基本原理以及实现方法。本系统的设计就是采用VHDL硬件描述语言编程,基于Quartus II平台进行编译和仿真来实现的,其采用的模块化、逐步细化的设计方法有利于系统的分工合作,并且能够及早发

2、现各子模块及系统中的错误,提高系统设计的效率。本设计主要的功能是:1.对第一抢答信号的鉴别和锁存功能; 2.限时功能3.记分功能4.数码显示。关键词 电子设计自动化(EDA);VHDL;抢答器;自顶向下的设计方法The identification and timing module of the Answeringsnatches based on VHDLABSTRACT As the fast development of the integrated circuit technology,Electronic design automation (EDA)technology has

3、become the trend of modern electronic design,whats more,it has been widely used by each big company, the enterprises and Scientific Institutions .VHDL is a kind of hardware description language,which is all-rounds, nearly covers the function of each other kind of hardware description language .Both

4、the entire top-down and bottom-Up circuit design process could be accomplished by VHDL.This article elaborates the concept and development of EDA ,explains the advantages and grammar structure of VHDL ,meanwhile, analysed the function request, the basic principle as well as the method of accomplishm

5、ent of each parts. This systems design programmes in the VHDL, compiled and emulated basing on Quartus II platform of Altera. Using the modulation,and the gradually detailing design method is of great benefit for the systems division of labor and cooperation ,besides,the usage of this method can det

6、ect errors, as early as possible , in various of submodules and system, enhancing the efficiency of the system design. The main feature of this design are:1. Accurately identificating of the signal of the first answer and latching this signal ; 2. The time limited function3. Score function 4. Digita

7、l display fuction.KEY WORDS EDA;VHDL;the answering snatches;top-down design method 目 录中文摘要.ABSTRACT.1 绪 论1 EDA技术的发展1 智能抢答器的市场现状和EDA技术的应用1 EDA技术的设计优势2 论文结构22 系统的设计元素3 传统的数字系统设计方法和现代的数字系统设计方法比较32.2 VHDL硬件描述语言概述4硬件平台QuartusII概述5 Quartus II介绍5 Quartus II设计流程及注意事项6 Quartus II的设计优势应用举例7 实验开发系统及芯片简述73 智能抢答

8、器系统的设计与实现93.1 抢答器系统设计要求9系统总体设计思想9子模块的设计思想和实现11 鉴别模块的设计与实现11 计时模块的设计与实现12 计分模块的设计与实现143.3.4 译码显示模块的设计与实现.16 抢答器的系统实现164 智能抢答器子模块的仿真验证194.1 鉴别模块的仿真验证194.2 计时模块的仿真验证204.3 计分模块的仿真验证214.4 数显模块的仿真验证22结束语24致 谢25参考文献26(附录).261 绪 论1.1 EDA技术的发展人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降

9、趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管;后者的核心就是EDA技术。【1】EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作:IC设计,电子电路设计以及PCB设计。没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的,但是面对当今飞速发展的电子产品市场,设计师需要更加实用、快捷的EDA工具,使用统一的集体化设计黄精,改变传统的设计思

10、路,将精力集中到设计构想、方案比较和寻找优化设计等方面,需要以最快的速度,开发出性能优良、质量一流的电子产品,对EDA技术提出了更高的要求。未来的EDA技术将在仿真、时序分析、集成电路自动测试、高速印刷版设计及开发操作平台的扩展等方面取得新的突破,向着功能强大、简单易学、使用方便的方向发展。1.2 智能抢答器的市场现状和EDA技术的应用 智力竞赛是“快乐学习”这一教育模式的典范,它采用在规定的一段时间内抢答和必答等方式,在给人们的生活带来乐趣的同时,也使参与者和观众在愉悦的氛围中学到一些科学知识和生活知识,因此很受大家的喜欢。智力抢答器在智力竞赛中起到很重要的角色,能够准确、公正、直观地判断出

11、首轮抢答者,并且通过抢答器的数码显示和警示蜂鸣等方式指示出首轮抢答者。EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA 技术已在各大公司、企事业单

12、位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。 所以从目前的市场情况来看,这个课题的研究很有发展前景。1.3 EDA技术的设计优势传统的设计方法采用自底向上的设计方法,一般先按电子系统的具体功能要求进行功能划分,然后对每个子模块画出真值表,用卡诺图进行手工逻辑简化,写出布尔表达式,画出相应的逻辑线路图,再据此选择元器件,设计电路板,最后进行实测与调试,由于无法进行硬件系统功能仿真,如果某一过程存在错误,查找和修改十分不便,所以这是一种费时、费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的。在电子产品的设计理念、

13、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方面,EDA技术具有一定的优势。所以本次设计的抢答器抛弃了传统的设计方法,选择了采用主流的EDA技术进行设计。1.4 论文结构第一章 绪论部分,介绍了该课题的发展前景、现状以及EDA技术的设计优势等;第二章 概述整个系统设计的设计元素;第三章 详细介绍用VHDL语言对智能抢答器的设计和实现;第四章 各功能模块的仿真。 2 系统的设计元素 此次设计是按照自顶向下的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(VHDL)完成系统行为级设计,最后通过综合器和适配器生成

14、最终的目标器件。这样的设计方法被称为高层次的电子设计方法。具体介绍如下:2.1 传统的数字系统设计方法和现代的数字系统设计方法比较 传统的数字系统设计方法:基于电路板的设计方法采用固定功能的器件(通用型器件),通过设计电路板来实现系统功能,在系统硬件设计的后期进行仿真和调试 现代的数字系统设计方法:基于芯片采用PLD,利用EDA开发工具,通过芯片设计来实现系统功能,在系统硬件设计的早期进行仿真。此系统的设计就是采用的现代的数字系统设计方法EDA的设计方法。两种设计方法的具体步骤如下: 图2-1两种设计方法的具体步骤 其与传统的设计方法的具体优劣比较如下表: 表2-1 传统设计方法 vs EDA设计方法 由以上两对比图、表可知,智能抢答器的设计采用自顶向下的设计方法较优。而传统的电子设计的基本思路还是选择标准集成电路自底向上(Bottom-Up)地构造出一个新的系统,这样的设计方法就如同一砖一瓦地建造金字塔,不仅效率低、成本高而且还容易出错。2.2 VHD

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号