课程设计论文AD9850的任意波形发生器的设计

上传人:桔**** 文档编号:508471268 上传时间:2023-10-10 格式:DOC 页数:30 大小:1.30MB
返回 下载 相关 举报
课程设计论文AD9850的任意波形发生器的设计_第1页
第1页 / 共30页
课程设计论文AD9850的任意波形发生器的设计_第2页
第2页 / 共30页
课程设计论文AD9850的任意波形发生器的设计_第3页
第3页 / 共30页
课程设计论文AD9850的任意波形发生器的设计_第4页
第4页 / 共30页
课程设计论文AD9850的任意波形发生器的设计_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《课程设计论文AD9850的任意波形发生器的设计》由会员分享,可在线阅读,更多相关《课程设计论文AD9850的任意波形发生器的设计(30页珍藏版)》请在金锄头文库上搜索。

1、1 ad9850任意波形发生器的设计1 设计思想 信号发生器广泛应用于电子电路、自动控制和科学试验等领域。是一种为电子测量和计量工作提供符合严格技术要求的电信号设备,也是应用最广泛的电子仪器之一,几乎所有的电参量的测量都需要用到信号发生器。本设计研究的信号发生器的基本思路是:基于DDS芯片AD9850基础的任意波形发生器。系统是基于AD9850芯片产生的波形。它是由相位累加器、正弦查询表、D/A转换器组成的集成芯片。其中相位累加器的位数N=32位,寻址RAM用14位,舍去18位,采用高速10位数模转换,DDS的时钟频率为125MHz,输出信号频率分辨率可达0.0291Hz;系统的微处理器采用8

2、051,外围电路主要是接口电路、调幅电路、滤波电路和积分电路的设计。同时还包括键盘接口。系统的软件主要是启动和初始化8051,然后处理键盘输入的频率控制字和相位控制字,并将其转换为32位的二进制数的控制字,最后并行递交给AD9850并启动AD9850,让它实现从正弦查询表中取数产生波形再输出。.1 选题背景在电子技术领域中,经常要用一些信号作为测量基准信号或输入信号,也就是所谓的信号源。信号源有很多种,包括正弦波信号源、函数发生器、脉冲发生器、扫描发生器、任意波形发生器、合成信号源等。作为电子系统必不可少的组成部分的信号源,在很大程度上决定了系统的性能,因而常称之为电子系统的“心脏”。随着电子

3、技术的发展,对信号源的要求越来越高,要求其输出频率高达微波频段甚至更高,频率范围从零Hz到几GHz频率分辨率达到mHz甚至更小,相应频点数更多;频率转换时间达到ns级:频谱纯度越来越高。同时,对频率合成器功耗、体积、重量等也有更高的要求。而传统的信号源采用振荡器,只能产生少数几种波形,自动化程度较低,且仪器体积大、灵活性与准确度差。而现在要求信号源能产生波形的种类多、频率高,而且还要体积小、可靠性高、操作灵活、使用方便及可由计算机控制。所以要实现高性能的信号源,必须在技术手段上有新的突破。当今高性能的信号源均通过频率合成技术来实现,随着计算机、数字集成电路和微电子技术的发展,频率合成技术有了新

4、的突破,直接数字频率合成技术(Direct Digital Synthesis DDS),它是将先进的数字信号处理理论与方法引入到信号合成领域的一项新技术,它的出现为进一步提高信号的频率稳定度提供了新的解决方法。同时,随着微电子技术的迅速发展,尤其是单片机技术的发展,智能仪器也有了新的进展,功能更加完善,性能也更加可靠,智能程度也不断提高直接数字式频率合成技术的出现导致了频率合成领域的一次重大革命。直接数字频率合成器问世之初,构成DDS元器件的速度的限制和数字化引起的噪声这两个主要缺点阻碍了DDS的发展与实际应用。近几年超高速数字电路的发展以及对DDS的深入研究,DDS的最高工作频率以及噪声性

5、能已接近并达到锁相频率合成器相当的水平。随着微电子技术的迅速发展,直接数字频率合成器得到了飞速的发展,它以有别于其他频率合成方法的优越性能和特点成为现代频率合成技术中的佼佼者。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。信号发生器是一种常用的信号源,广泛应用于电子测量、自动控制和工程设计等领域。随着电子技术的发展,对信号源频率的稳定度、准确度以及频谱纯度的要求越来越高。DDS(直接数字合成)技术是

6、从相位概念出发直接合成所需波形的一种新的频率合成技术,与传统的模拟式波形产生法相比,它具有相位变换连续、频率转换速度快、分辨率高、稳定度高、相位噪声小、便于集成、易于调整及控制灵活等多种优点。基于DDS技术的信号发生器是一类新型信号源,它已成为众多电子系统中不可缺少的组成部分2 DDS概要2.1 DDS结构1971年,美国学者J.Tierney等人撰写的“A Digital Frequency Synthesizer”-文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新组成原理。限于当时的技术和器件产,它的性能指标尚不能与已有的技术相比,故没受到重视。近几年间,随着微电子技术的迅

7、速发展,直接数字频率合成器(Direct Digital Frequency Synthesis简称DDS或DDFS)得到了飞速的发展,它以有别于其它频率合成方法的优越性能和特点成为现代频率合成技术中的佼佼者。具体体现在相对带宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个

8、关键技术。直接数字频率合成器(Direct Digital Synthesizer)是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)构成。DDS的原理框图如下所示:StSnN位波形控制字WN位频率控制字K相位控制字P图2.1 DDS原理框图其中K为频率控制字、P为相位控制字、W为波形控制字、fc为参考时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K作累加,输出的N位二进制码与相位控制字P、波形控制字W相加后作为波形ROM的地址,对波形ROM

9、进行寻址,波形ROM输出D位的幅度码S(n)经D/A转换器变成阶梯波S(t),再经过低通滤波器平滑后就可以得到合成的信号波形。合成的信号波形形状取决于波形ROM中存放的幅度码,因此用DDS可以产生任意波形。这里我们用DDS实现正弦波的合成作为说明介绍。2.1.1 频率预置与调节电路K被称为频率控制字,也叫相位增量。DDS方程为:f0=fCLK/2n,f0为输出频率,fc 为时钟频率。当K=1时,DDS输出最低频率(也即频率分辨率),为fc/2n,而DDS的最大输出频率由Nyquist采样定理决定,即fc/2,也就是说K的最大值为2N-1。因此,只要N足够大,DDS可以得到很细的频率间隔。要改变

10、DDS的输出频率,只要改变控制字K即可。2.1.2累加器 fc频率控制字相位量化序列图2.2 累加器框图相位累加器由N位加法器与N位寄存器级联构成。每来一个时钟脉冲fc,加法器将频率控制字K与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端。寄存器将加法器在上一个时钟作用下继续与频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加。当相位累加器累加满时就会产生一次溢出,完成一个周期性的动作。2.2.3 控制相位的加法器通过改变相位控制字P可以控制输出信号的相位参数。令相位加法器的字长为N,当相位控制字由0跃变到P(P0)时,波形存储器的输入为相位累加器的输出与相

11、位控制字P之和,因而其输出的幅度编码相位会增加P/2N,从而使最后输出的信号产生相移。2.2.4 控制波形的加法器通过改变波形控制字W可以控制输出信号的波形。由于波形存储器中的不同波形是分块存储的,所以当波形控制字改变时,波形存储器的输入为改变相位后的地址与波形控制字W(波形地址)之和,从而使最后输出的信号产和相移。2.2.5 波形存储器用相位累加器输出的数据作为波形存储器的取样地址,进行波形的相位一幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。N位的寻址ROM相当于把0360的正弦信号离散成具有2N个采样值的序列,若波形ROM有D位数据位,则2N个样值的幅值D位二进制数值固化在ROM

12、中,按照地址的不同可以输出相应相位的正弦信号的幅值。相位幅度变换原理图如下图所示:地址相位量化序列波形幅度量化序列(数据) 图2.3 相位幅度变换原理图2.2.6 D/A转换器D/A转换器的作用是把合成的正弦波数字量转换成模拟量。正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t)。需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦波S(t)台阶数就越多,输出的波形的精度也就越高。2.2.7 低通滤波器 对D/A输出的阶梯波S(t)进行频谱分析,可知S(t)中除主频fo外,还存在分布在fc,2fc等等的两边fo处的非谐波分量,幅

13、值包络为辛格函数。因此,为了取出主频f0,必须在D/A转换器的输出端接入截止频率为fc/2的低通滤波器。2.2 DDS数学原理设有一频率为的余弦信号:现在以采样频率对进行采样,得到的离散序列为: 其中为采样周期。对应的相位序列为 从上式可以看出相位序列呈线性,即相邻的样值之间的相位增量是一个常数,而且这个常数仅与信号的频率有关,相位增量为:因为信号频率与采样频率之间有以下关系: 其中与为两个正整数,所以相位的增量也可以完成:由上式可知,若将的相位均匀的分为等份,那么频率为的余弦信号以频率采样后,它的量化序列的样品之间的量化相位增量为一个不变值。根据上述原理可以构造一个不变量为量化相位增量的量化

14、序列: 然后完成从到另一个序列的映射,由构造序列: 公式(21)公式(2-1)是连续信号经采样频率为采样后的离散时间序列,根据采样定理,当时,经过低通滤波器平滑后,可唯一恢复出。 可见,通过上述变换不变量将唯一的确定一个单频率模拟余弦信号: 该信号的频率为: 公式(22)公式(22)就是直接数字频率合成(DDS)的方程式,在实际的DDS中,一般取,于是DDS方程就可以写成: 公式(23)根据公式(23)可知,要得到不同的频率只要通过改变的具体数值就可以了,而且还可以得到DDS的最小频率分辨率(最小频率间隔)为当时的输出频率:可见当参考频率始终一定是,其分辨率由相位累加器的位数决定,若取,则,即

15、分辨率可以达到,这也是最低的合成频率,输出频率的高精度DDS的一大优点。由奈奎斯特准则可知,允许输出的最高频率,即,但实际上在应用中受到低通滤波器的限制,通常,以便于滤波镜像频率,一般:由此可见DDS的工作频率带较宽,可以合成从直流到的频率信号,同时它的输出相位连续,频率稳定度高。3 总体设计方案31系统设计原理本文提出的采用DDS作为信号发生核心器件的全数控函数信号发生器设计方案, 根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9850 芯片,并通过单片机程序控制和处理AD9850的32位频率控制字, 再经放大后加至以数字电位器为核心的数字衰减网络, 从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。本系统主要由单片机、DDS直接频率信号合成器、数字衰减电路、真有效值转换模块、A/D转换模块、数字积分选择电

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号