通用异步收发器的设计

上传人:m**** 文档编号:507761956 上传时间:2023-02-21 格式:DOC 页数:28 大小:295.50KB
返回 下载 相关 举报
通用异步收发器的设计_第1页
第1页 / 共28页
通用异步收发器的设计_第2页
第2页 / 共28页
通用异步收发器的设计_第3页
第3页 / 共28页
通用异步收发器的设计_第4页
第4页 / 共28页
通用异步收发器的设计_第5页
第5页 / 共28页
点击查看更多>>
资源描述

《通用异步收发器的设计》由会员分享,可在线阅读,更多相关《通用异步收发器的设计(28页珍藏版)》请在金锄头文库上搜索。

1、通用异步收发器的设计(陕西理工学院物电学院通信班,陕西 汉中 723003)指导教师: 摘 要 通用异步收发器(UART)是一种能同时支持短距离和长距离数据传输的串行通信接口,被广泛应用于微机和外设之间的数据交换。本设计是基于FPGA的嵌入式UART模块化设计方法,将UART模块集成到FPGA上,而这些模块功能全部基于VHDL硬件描述语言,并通过有限状态机来实现,增强了设计的灵活性,降低了成本。UART的功能模块主要包括数据发送模块、数据接收模块和测试驱动模块三个部分,对各模块的功能在文中都有详细的介绍。整个设计采用了分模块的设计风格,使设计的思路更清晰。关 键 词 通用异步收发器;现场可编程

2、门阵列;硬件描述语言Design of Universal Asynchronous Receiver TransmitterMa Xiaona(Grade09,Class2,Major of Communication Engineering,School of Physics and Telecommunication Engineering Shaanxi University of Technology, Hanzhong 723003,China)Tutor:Zheng ZhengbingAbstract General asynchronous transceiver (UART)

3、 is a kind of can at the same time support short and long distance data transmission of serial communication interface, are widely used in computer and peripheral of data exchange. The design is based on FPGA embedded UART modular design method, UART module are integrated into the FPGA, and the modu

4、le function based on VHDL all hardware description language, and through the finite state machine to achieve, and enhance the design flexibility, reduce the costs. The function of the UART includes data sending module, data receiving module and test driver modules three parts on the function of each

5、 module in this paper are introduced in detail. The whole design uses a points module design style, make design thinking more clearly. Key wordsUART;FPGA;VHDL不要删除行尾的分节符,此行不会被打印- 21 -通 信 工 程 专 业 课 程 设 计 目 录1绪 论21.1 课题背景21.2 研究现状32 UART设计方案介绍52.1 UART的设计内容52.2 UART的设计方案53 UART的设计与实现83.1总结构83.2发送模块93.2

6、.1发送模块及其功能93.2.2发送模块源程序93.2.3发送模块功能仿真113.3接收模块123.3.1接收模块及其功能123.3.2接收模块源程序133.3.3接收模块功能仿真153.4测试驱动模块163.4.1测试驱动模块及其功能163.4.2测试驱动模块源程序163.4.3测试驱动模块功能仿真20第四章 硬件连接与测试224.1引脚设置224.2下载224.3测试方法235 结论24致 谢25参考文献261绪 论1.1 课题背景串行通信要求的传输线少,可靠性高,传输距离远,被广泛应用于计算机和外设的数据交换。通常都由通用异步收发器(UART)来实现串口通信的功能。在实际应中,往往只需要

7、UART的几个主要功能,专用的接口芯片会造成资源浪费和成本提高。随着FPGA/CPLD的飞速发展与其在现代电子设计中的广泛应用,FPGA/CPLD功能强大、开发过程投资小、周期短、可反复编程、保密性好等特点也越来越明显。因此可以充分利用其资源,在芯片上集成UART功能模块,从而简化了电路、缩小了体积、提高了可靠性,而且设计时的灵活性更大,周期更短。鉴于此本文提出了一种采用FPGA实现UART功能的方法,可以有效地解决上述问题。 通用异步收发器(Universal Asynchronous Receiver Transmitter,UART)是一种能同时支持近距离和远距离传输的异步串行接口,是计

8、算机中最普遍通用的一部分,被广泛应用于微机和外设的数据交换,例如和鼠标、调制解调器、打印机之间以及微机与微机之间的串行数据传输。因为异步串行通信接口具有传输线少、成本低、可靠性高、实现简单等优点,因此在PC和外设之间的串行通信中得到了广泛的应用。同时在当今的嵌入式微处理器芯片的设计中,异步串行通信接口已成为不可缺少的一部分。在PC和外设之间的通信中,异步串行接口主要完成两部分工作:一是将串口电平转换为设备电路板的工作电平,即实现RS232电平和TTLCMOS电平的转换。二是接收外设传送进来的串行数据同时检验该数据,然后将数据变成并行的并提供给处理器处理,或者接收微处理器发送过来的并行数据将其转

9、换成串行数据并同时根据串行通信协议附加上起始位、奇偶校验位以及停止位,然后将其从串行数据输出端发送出去。实现RS232电平和TTLCMOS电平转换可以用专用的接口芯片来实现当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断地进行更新换代。它由早期的电子管、晶体管、小中规模集成电路、发展到超大规模集成电路(VLSIC,几万门以上)以及许多具有特定功能的专用集成电路。但是,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的

10、ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。 通用异步收发器(Universal Asynchronous Receiver/Transmitter), 简称为UART 。由于具有数据通信时需要的连线少, 具有相关工业标准提供的标准的接口电平规范等优点, 在工业控制领域被广泛采用. 近年来, 随着FPGA/ CPLD 器件在控制领域的广泛使用, 开发嵌于FPGA/ CPLD 器件内部的通用异步收发器, 以实现FPGA/ CPLD 开发系统与PC 机之间的数据通信引起了FPGA

11、 / CPLD 领域研究人员的关注. 为此,本设计基于FPGA 器件设计了符合RS - 232 标准UART , 便于实现FPGA/CPLD开发系统与PC 机之间的串口数据交换。1.2 研究现状通用异步收发器(Universal Asynchronous Receiver Transmitter,UART)是雷达中常用的器件类型,多用于全机监测、指令发送接收、状态传递、键盘显示操作以及控制等等。利用可编程器件实现UART功能模块,既可以满足产品类别的需求又可以在使用中根据实际情况增减配置,是我们在设计中值得考虑的一种方法。在嵌入式系统中,嵌入式CPU往往要通过各种串行数据总线与“外界”进行通信

12、。在应用中,异步的串行数据通信用得较多,而通用异步收发器在其中扮演着重要角色:完成数据的串并转换,即把并行数据按照通信波特率转化为通信协议中规定的串行数据流,也可从串行数据流中取出有用数据转变为并行数据。对FPGA芯片来说,该芯片具有静态可重复编程和动态在系统重构的特性,使得硬件功能可以通过软件的编程修改参数来实现,这样不仅从实时性上得到了提高,而且极大提高了电子系统设计的通用性和灵活性,因此,UART接口技术的FPGA实现逐渐运用于现代军事工业。FPGA是英文 Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基

13、础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。目前FPGA的品种很多,有XILINX的XC系列,TI公司的TPC系列、ALTERA公司的FIEX系等。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失

14、,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。 FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA;外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。2 UART设计方案介绍2.1 UART的设计内容 本设计的内容是设计通用异步收发器(UART)。利用Quartus完

15、成设计、仿真等工作,然后通过SmartSOPC试验箱上的RS232接口和PC机联机进行硬件测试和分析。最后通过KEY1KEY2输入要发送的数据,并显示于数码管1/2,按KEY3发送数据到PC机,通过串口调试软件显示由FPGA发送的数据;由PC机发送到FPGA的数据显示数码管7/8。数据的输入、显示由一个测试模块完成。本设计以48MHZ晶振产生的频率为例,以波特率为9600、8位数据、1位停止位的格式进行数据传输。2.2 UART的设计方案 UART即Universal Asynchronous Receiver Transmitter,意为通用异步收发器,常用于短距离,低速,低成本的微机下位机的通讯中。UART只需两条信号线(RXD,TXD)和一条地线就可以完城全双工传输。由于UART是异步通讯,所以需要对数据进行同步。在串行通信中,数据以字节为单位的字节帧进行传送。发送端和接收端必须按照相同的字节帧格式和波特率进行通信。UART控制器所传输的一帧串行数据包括1位起始位(低电平)、58位数据位、1

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号