FPGA技术课程作业

上传人:M****1 文档编号:503808365 上传时间:2023-03-06 格式:DOCX 页数:5 大小:15.05KB
返回 下载 相关 举报
FPGA技术课程作业_第1页
第1页 / 共5页
FPGA技术课程作业_第2页
第2页 / 共5页
FPGA技术课程作业_第3页
第3页 / 共5页
FPGA技术课程作业_第4页
第4页 / 共5页
FPGA技术课程作业_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《FPGA技术课程作业》由会员分享,可在线阅读,更多相关《FPGA技术课程作业(5页珍藏版)》请在金锄头文库上搜索。

1、精品文档,仅供学习与交流,如有侵权请联系网站删除1. 分析test2.v程序,完成下列各题:1) 完成程序填空。2) 画出该代码综合后的电路图。module test2 (clk,reset,in1,in2,in3,out1,out2 );input clk,reset;input in1,in2, in3 ;output out1,out2;reg out1,out2; integer temp1,temp2;always (posedge clk or posedge reset) if(reset) begin temp1 = 0; temp2 = 0;end else begin te

2、mp1 = in1 & in2;temp2 = in2 | in3;endalways (posedge clk) begin out1 = temp1 & temp2; out2 = temp1 in3; endendmodule2、分析test1.v程序,完成下列题目:1) 完成程序填空。2) 根据图一所画出的输入激励波形,编写测试文件,仿真截图在0到900ns时间区间里输出端口的输出波形。module test1(data_1,data_2,data_3,data_out1,data_out2 );input data_1,data_2,data_3;output data_out1,d

3、ata_out2; task writeburst ;input a,b; integer c; c = a + b;endtaskreg data_out1,data_out2;always (data_1 or data_2 or 3 )begin write(data_1,data_2,data_out1); write(data_2,data_3,data_out2);endendmodule图一3. 根据下面的Verilog HDL代码,画出综合后的电路图。module test12(out,clk,in1,in2,in3,in4);input clk;input in1,in2,i

4、n3,in4;output out;reg out;reg temp1,temp2;always (posedge clk)begin temp1 = in1 & in2; temp2 = temp1 | in3; out = temp2 | in4;endendmodule4. 根据图1所示的原理图写出相应的Verilog HDL程序,其中DFF模块是上升沿触发的触发器,编写相应的测试程序,在ISE软件中完成仿真,对仿真波形图进行截图。图二程序:module my_moduke (clk,xin,out); input clk,xin; output out; reg out; reg te

5、mp; always(posedge clk) begin temp=xin|out; end always(posedge clk) begin out=temp; endendmodule激励文件:initialbeginclk=0;forever #30 clk=clk;endinitial begin/ Initialize Inputsxin = 0;forever #300 xin=xin;end仿真:5. 用Verilog HDL设计一个四分频电路,在ISE软件中完成仿真,对仿真波形图进行截图。1)输入信号:clk- 输入时钟 reset-同步复位信号,当reset=1b1时,系

6、统输出置零,当reset=1b0时,系统正常工作。 2)输出信号:clk_out -输出信号,其频率是输入时钟的四分之一module D_4div(clkin,reset_n,clkout);input clkin,reset_n; output clkout; wire in1,in2,clkin_2;reg out; reg clkout_1; assign in1=clkout_1;assign clkin_2=clkout_1; assign in2=out; assign clkout=out;always(posedge clkin) /由第一个D触发器构成的2分频程序;begin

7、if(!reset_n) begin out=0; clkout_1=0; end else clkout_1=in1;endalways(posedge clkin_2) /由第二个D触发器构成的2分频程序时钟输入为上面的输出;begin if(!reset_n) out=0; else out=in2;endendmodule6.设计一个带有异步复位控制端和时钟使能控制端的10进制计数器。module Counter(clk,clk_key,clr,ena,cout,sel,seg);inputclk,clk_key,clr,ena; outputcout; output7:0sel,se

8、g;assignsel=8hf0;wireclk_k;debounce_moduleU0(.CLK(clk),.RSTn(clr),.Pin_In(clk_key),.Pin_Out(clk_k);wire3:0sum;countU1(.clk(clk),.clk_k(clk_k),.clr(clr),.ena(ena),.cout(cout),.sum(sum);tubeU2(.clk(clk),.sum(sum),.seg(seg);endmodule计数模块modulecount(clk,clk_k,clr,ena,cout,sum);inputclk,clk_k,clr,ena; ou

9、tputcout; output3:0sum;regcout; reg3:0sum; rega,b;always(posedgeclk)begin a=clk_k; b=a;endwirekey_posedge=(b&a)?1b1:1b0;always(posedgeclk)begin if(clr) begin cout=0; sum=0; end elseif(key_posedge&ena) begin if(sum4d9) begin sum=sum+1b1; cout=0; end else begin cout=1; sum=0; end end elsebegin cout=co

10、ut; sum=sum; endendendmodule数码管module tube(clk,sum,seg);input clk; input 3:0sum; output 7:0seg; reg 7:0seg;always(posedge clk)begincase(sum)4d0:seg=8hc0; 4d1:seg=8hf9; 4d2:seg=8ha4; 4d3:seg=8hb0;4d4:seg=8h99; 4d5:seg=8h92; 4d6:seg=8h82; 4d7:seg=8hf8;4d8:seg=8h80; 4d9:seg=8h90; default:seg=8h00;Endcaseendendmodule7. 第八章内容将运用在实验二中,请对第八章进行自学,并针对实验二的chipscope下载测试进行预习。【精品文档】第 页

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 试题/考题 > 初中试题/考题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号