东北大学秦皇岛分校计算机组成原理课设

上传人:汽*** 文档编号:488189516 上传时间:2023-12-11 格式:DOC 页数:24 大小:1.92MB
返回 下载 相关 举报
东北大学秦皇岛分校计算机组成原理课设_第1页
第1页 / 共24页
东北大学秦皇岛分校计算机组成原理课设_第2页
第2页 / 共24页
东北大学秦皇岛分校计算机组成原理课设_第3页
第3页 / 共24页
东北大学秦皇岛分校计算机组成原理课设_第4页
第4页 / 共24页
东北大学秦皇岛分校计算机组成原理课设_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《东北大学秦皇岛分校计算机组成原理课设》由会员分享,可在线阅读,更多相关《东北大学秦皇岛分校计算机组成原理课设(24页珍藏版)》请在金锄头文库上搜索。

1、东北大学秦皇岛分校计算机与通信工程学院计算机构成与构造课程设计指令系统及计数器设计专业名称计算机科学与技术班级学号学生姓名指引教师设计时间.12.1712.2东北大学秦皇岛分校课程设计任务书专业:计算机科学与技术 学号: 学生姓名:设计题目:一、设计实验条件硬件:PC机软件:linSE odelSm 编程语言:VD二、设计任务及规定1. 号指令的设计;2. 23号指令的设计;3. 9号指令的设计;4. 4号指令的设计;5. 输出寄存器OUT;6 带异步清零和计数使能的8位二进制计数。三、设计报告的内容1设计题目与设计任务题目:1、位模型机设计-指令系统及计数器设计表.指令系统设计指令编号指令助

2、记符机器码1机器码2指令功能ADD R?000101将间址存储器的值加到累加器中3AD, M01MM累加器A“与”存储器M地址的值29MV A, R?0100将寄存器?的值送到累加器A中42JZ MM1000M当零标志_Z=时,跳转到MM地址 2、模型机硬件设计:输出寄存器OT 3、逻辑电路设计:带异步清零和计数使能的8位二进制计数器2前言1、 融会贯穿计算机构成原理课程的内容,通过知识的综合运用,加深对计算机系统各个模块的工作原理及互相联系的结识;2、 学习运用H进行FG/CPLD设计的基本环节和措施,熟悉EDA的设计、模拟调试工具的使用,体会PGA/CPLD技术相对于老式开发技术的长处;3

3、、 培养科学研究的独立工作能力,获得工程设计与组装调试的实践经验。3. 设计主体【系统设计】整机逻辑构造框图图1芯片引脚:图2cpu逻辑构造框图:图【设计指令系统】1、 指令系统设计表2第6条指令:ADD A,?指令类型:算术运算指令寻址方式:寄存器间接寻址第3条指令:AD A, MM指令类型:逻辑运算指令寻址方式:存储器直接寻址第条指令:MO A, R?指令类型:数据传送指令寻址方式:寄存器直接寻址第42条指令:J MM指令类型:程序跳转类指令寻址方式:存储器直接寻址2、微操作控制信号1、XRD : 外部设备读信号,当给出了外设的地址后,输出此信号,从指定外设读数据。2、EMWR: 程序存储

4、器M写信号。3、MRD: 程序存储器EM读信号。4、PCO: 将程序计数器P的值送到地址总线ABUS上(MA)。5、EN:将程序存储器E与数据总线DBUS接通,由EMWR和EMR决定是将DUS数据写到EM中,还是从EM读出数据送到DBUS。6、IREN: 将程序存储器EM读出的数据打入指令寄存器I。7、ET:中断返回时清除中断响应和中断祈求标志,便于下次中断。8、LP: PC打入容许,与指令寄存器IR、I2位结合,控制程序跳转。9、FSTC:进位置1,CY=0、 FCLC:进位置0,CY=0、ARE:将地址总线BU上的地址打入地址寄存器MA。12、MAROE:将地址寄存器AR的值送到地址总线A

5、BUS上。13、UTEN:将数据总线DB上数据送到输出端口寄存器OT里。14、STN:将数据总线BU上数据存入堆栈寄存器ST中。1、 RD: 读寄存器组-3,寄存器R?的选择由指令的最低两位决定。6、 W: 写寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。17、 CN: 决定运算器与否带进位移位,CN1带进位,CN=0不带进位。、FEN: 将标志位存入ALU内部的标志寄存器。19、EN: 将数据总线BUS的值打入工作寄存器W中。20、 AEN: 将数据总线DUS的值打入累加器A中。1: XX0 : X、1、X0三位组合来译码选择将数据送到DBS上的寄存器。 2-26: S2 S0

6、: S2、1、S三位组合决定AU做何种运算。3、 指令执行流程表3编号助记符功能机器码周期总数T节拍数微操作控制信号波及的硬件1_F_取指令000000XX00TPMRPCOEMAENP, AR , E, , IRTMWEMN EMRD WENTWPC + 1IRE4DEC R?R?中内容自减1000XX100T4WR?DBUSAENRD AEN R?DBUS AALU3A-LUDBUSR?AEN S()23ND A, MM累加器A“与”存储器M地址的值1010X101T5PMARPCOE MARENPC, AR, E, W, A,AU, FT4EDUSWPC+1PCMRD EMEN WENT

7、3+ALUFAUAFE A29MOV A, R?将寄存器R?的值送到累加器A中01100X00T4R?DBSWRD WENR? DUS W A3WAEN2JZ M当零标志R_Z1时,跳转到M地址10001XX10或01T若Z=1,则P MA EMDBPCMARPCO MARENPCAR EWBUS4EWER EENW3DBUSELPT3否则:PC1CPC指令执行流程图DC R? AND A,MM MOVA,R? JZ MM Z=1 Y PCMARPCMAR T 5EMWR?DBUSWEMDBUSWPC+1PC1WR?DBUSA T4 T T4PCPCWDBUSPCWAA+WALUFALUAA-

8、WALUDBUSR? 3 T3 T T3图4二部分【模型及实现(输出寄存器OUT)】带清零的8位并行输入串行输出移位寄存器1、设计构思引脚:八个并行输入端口一种输出端口时钟信号控制引脚 时钟信号严禁端 移位装载控制 复位功能:数据并行的输入,串行的输出实现所谓的并串变化输入引脚 :,b,c,d,e,f,, 8位并行输入信号s串行输入信号输出引脚:q 串行输出信号控制引脚:ck 时钟信号e时钟信号严禁端s1 移位装载控制端eset 复位信号 表4 控制信号功能表LKrtfeS1上升沿有效0 复位-00000011-00000000依次装载移位装载、VHDL源程序设计过程 涉及三个部分,即库和程序

9、包调用、实体部分、构造体部分 程序包调用:LIBRARYiee;se etd_oic_all; 实体部分一般格式:etty实体名is 类型参数阐明端口阐明end 实体名 注意:实体名与保存的源文献名相似构造体部分一般格式: Arciecre 构造体名 of 实体名 is定义语句 Begn 并行解决语句End构造体名建立过程图5图6逻辑电路图图7图图仿真测试图10图13、VDHLM描述如下LIBRARY e;ue iee.st_logic_164.l;nti resport(reset,1,fe,cl,se,b,c,d,e,f,g,h:i std_logc; q:utst_loic);end r

10、eg;arhitecture behaof regissinal tmpreg:d_loc_vtr(7 doto0);bein process(k,et,s1,fe) begin if(et0)then tmre8=00000; tmpeg(7); elsi(clkevent)d(c=)the if(fe0)tn if(1=0)ten tmpg(0)=a; mpreg8(1)b; pe()=c; tmpeg8(3)=; tmpreg8(4)e; tmpe8()=f; treg8(6)g; tmpeg8(7)=h; esf(s1=1)tn f i in tmpreg8hghown tmrg8lo+ loo tmpreg8(i)tmpeg(i-1); nd oop; mpeg8(tmpe

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号