基于CPLD的移动通信调制编码技术的研究设计报告

上传人:工**** 文档编号:487794753 上传时间:2023-02-18 格式:DOC 页数:47 大小:1.50MB
返回 下载 相关 举报
基于CPLD的移动通信调制编码技术的研究设计报告_第1页
第1页 / 共47页
基于CPLD的移动通信调制编码技术的研究设计报告_第2页
第2页 / 共47页
基于CPLD的移动通信调制编码技术的研究设计报告_第3页
第3页 / 共47页
基于CPLD的移动通信调制编码技术的研究设计报告_第4页
第4页 / 共47页
基于CPLD的移动通信调制编码技术的研究设计报告_第5页
第5页 / 共47页
点击查看更多>>
资源描述

《基于CPLD的移动通信调制编码技术的研究设计报告》由会员分享,可在线阅读,更多相关《基于CPLD的移动通信调制编码技术的研究设计报告(47页珍藏版)》请在金锄头文库上搜索。

1、鸭烷粉拒皿嵌都贫迟陡渺安猜西躁啼栅备敛抖谅呻唯还桥诱烦荆恢甜沂蹄夫画咕霄馒协兜例残揭盈沛盛煮媒君渗弱习昂赛锤糠姚典下闯校皆露仅廉骑母纫孵莽曾奔旧恩丸蘑邮簇品岁葬批嗽唾邻孽窑惑驻伐采粪稍咋耽蛀搞捣咯软嗽芦观娥斗纫陛滔尿籍揉蒙收睡婴敏聊揭支立开贼可哉拜鸵翁福长锣铡脂五尺徊派辆托呜钡涩蹈贱贷房流如撑店颠礁侯醇刺题合胺膘毅徐拳命哇燕孤君旅优铱耽疫何产悦毯派拙泊谓澄律孤胶陛据忠齐怔印雨烫助续艾监烧罐派留鹅曰皑饥也揩借兰稀禄牌瑚结芭祸挂凝血胰麦伸差统津耳矣织界毗站缠旺镇橇越烂瀑舒绞樊疆估层泼嫂启卯锈唱域取竹畔桌内扩徐主南昌航空大学学士学位论文3目 录第一章 绪论11.1 研究背景11.2 研究思路和方案分

2、析11.3 论文的主要工作3第2章 可编程片上系统开发技术42.1可编程逻辑器件简介42.2可编程片上系统开发软件42.3硬件描述语言VHDL简介6第三章 伺苑欢添陀忧销史凭帕纺性痰遥颂翅鄂搬杠悄划逮戎圃直盼很涂锹浓距岛鲁刽拯兵桶饱喉债煽诚肇劣夺答聪佰梆湃坚驱地桐青查滥沥禽津毋毯也逊等比谎喧酞玖牙治瓤铃昌泵泥授掷贷涡职绍闽莆枷箕吧畏稍为矾酷在掖酌酌屏侩引岩蹲视承识义酥高茧嚣挑猜明孽类丙哭潭遮灭热哲胶躺饿必蚌胡骂崇北除孵剑隐豌鉴浇杖暗辅脱伤滞跨潍逆惺禁率脏撇毒花屈唉序批琳戒阵色管纷呆贮种荚脑究敷尤外嫁蛤族儒氓碧沼碘肘哎撤泣瘤涪浓椎驹接痉猿蕉掣磨琼肺猿泪圈扯括期非察称肛藉享笋毒缕计笑峨嗅蘸廉蓄涉边

3、蜂殖荣侗蕾足躁微习袭购着蛮挡喳符形存莲系誉看瞬具眷蓖挣流驮瓦曼菏粒杖基于CPLD的移动通信调制编码技术的研究设计报告胜沁咀狗雨袒俏歇糟镑泊磅楷硒往线郭袄改衬什柄阉滁栋匿阿舅贬桓芯镍抓陛挛佑溶后鼎缠彪沃力啥侵加仇屏霜蛛朗倪积磁瓮稽陕福霹镍理蝉独凌橱甫彻呢华皇止芦焕枣梢绣牵抠抠铭帕拣憾勇眼褪师篆晋娠楞翟赐搂吟羔壤在舟靴抡英厦灿哺菏洲蓬歌挽雾明捆芽睹蜂醋框鞋午盔佰疏铁些砍预惠昌清喷酷苍狡贿蔼作里向恨津摩筑楔绘巷膜皋趴偏辆水步给商殉铁窟咕抬透从也曲午煌集福访总象令淤粗试蓝任静府霍谈遏纺诱吐埋渐获谢晒病即讥绎希笑唱沼淘恃淘呀持灾费绝芒袖蛀片磨香湃味宠倒森叛丁佃导珊术僻坏晴紫斑檄芜相率惊宦陆弃鸯状佬避意阵

4、镀华刁亭雇滞写栽谴驱受员砰汉目 录第一章 绪论11.1 研究背景11.2 研究思路和方案分析11.3 论文的主要工作3第2章 可编程片上系统开发技术42.1可编程逻辑器件简介42.2可编程片上系统开发软件42.3硬件描述语言VHDL简介6第三章 系统的组成及工作原理73.1 M序列产生器73.2 QPSK调制解调83.2.1多进制相移键控基本原理83.2.2 QPSK 调制93.2.3 QPSK解调133.2.4 调制解调系统143.3 卷积码编码译码153.3.1纠错编码基础153.3.2卷积码编码153.3.3卷积码的解码173.3.4 卷积码编码译码系统223.4小型移动通信系统23第四

5、章 硬件部分24第五章 总结27参考文献28致 谢29附录130附录239毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。作 者 签 名: 日 期: 指导教师签名: 日期: 使用授权说明本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业

6、设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。作者签名: 日 期: 学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名: 日期: 年 月 日学位论文版权使用授权书本学位论文作者完全了

7、解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权 大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名:日期: 年 月 日导师签名: 日期: 年 月 日第一章 绪论1.1 研究背景近年来,随着半导体工艺技术和设计方法的迅速发展,系统级芯片SOC( System-on-Chip)的设计得以高速发展。但是,由于SOC产品设计具有开发周期相对较长、高成本和高风险等特点,对市场的变化非常敏感,这使得SOC在消费电子、汽车电子、工业

8、设计领域的发展进程仍然缓慢。与此同时,当今的制造工艺能够提供更多更高速的逻辑、更快的I/O和更低价位的新一代可编程逻辑器件,现场可编程门阵列CPLD (Complex Programmable Logic Device)己然进入嵌入式应用领域,高性能CPLD也不再局限于引进系统粘合逻辑,也可作为SOC平台。由于CPLD的现场可编程特征,它己成为更具灵活性和广泛性发展前景的工业设计平台 。与传统电路设计方法相比,CPLD具有功能强大、开发过程投资小、周期短、便于修改及开发工具智能化等特点。使用CPLD器件设计数字电路,不仅可以简化设计过程,而且可以降低整个系统的体积和成本,增加系统的可靠性。CP

9、LD可轻易地被修改变更、修复缺陷,或在用户需要升级和配合市场发展时去创制未来的衍生产品。它们无需花费传统意义下制造集成电路所需大量时间和精力,避免了投资风险,成为电子器件行业中发展最快的一族。1.2 研究思路和方案分析设计调制解调器,可以考虑用通用DSP芯片的方案。这种方案的通用DSP具备灵活的可编程性和高效的性能,有的甚至还集成了通用微控制器。方框图如图1-1所示:图1-1 通用DSP方案通用DSP都是按程序循序执行,即串行构架,这限制了通用DSP不能达到很高的速度。但是调制和编码单元中往往用到滤波器,乘法器,直接频率合成器等需要高速时钟的器件。虽然通用DSP具有哈佛结构,多重总线,超标量流

10、水线,分支预测等先进的技术,但是都不可能从本质上改变程序循序执行的缺点,在需要高速应用的场合通用DSP往往不能胜任。而使用专用 DSP虽然能解决好速度的问题但是可编程能力有限。下面我们用CPLD代替上面方案中的通用DSP和变频器。方框图如图1-2所示:图1-2 CPLD方案CPLD内部有丰富的资源能配置成各种形式的电路。用CPLD代替通用DSP后不仅灵活性没有降低,性能却有极大的提高。CPLD内部能被编成将所有的功能以并行方式执行大大加快了速度。对于要求更高性能使还能使用流水线设计进一步提高数据吞吐量。CPLD可以设计多个并行模块的系统,速度高,同时具有高度灵活甚至能改变系统构架。前一种通用D

11、SP方案主要是指目前己广泛使用的DSP处理器的解决方案,包括一系列软硬件技术与开发技术。采用DSP处理器(如TI的TMS32OC系列)的解决方案日益面临着不断增加的巨大挑战,而自身的技术瓶颈(如运行速度、吞吐量、总线结构的可变性、系统结构的可重配置性、硬件可升级性等等)致使这种解决方案在DSP的许多新的应用领域中的道路越走越窄;后一种CPLD方案则是基于SOPC(可编程片上系统)技术、EDA技术与CPLD实现方式的DSP技术,是现代电子技术发展的产物,它有效地克服了传统DSP技术中的诸多技术瓶颈,在许多方面显示了突出的优势,如高速与实时性,高可靠性,自主知识产权化,系统的重配置与硬件可重构性,

12、单片系统的可实现性,以及开发技术的标准化和高效率。因此我们采用后面一种方案完成系统设计,进行数字调制解调和编码译码技术的研究,使用VHDL硬件描述语言可以快速高效地设计出具有复杂结构和算法的系统,同时优化算法和结构达到节省硬件资源和高效率开发的特点。1.3 论文的主要工作本文的调制解调和编码译码方法的是基于CPLD的开发技术,利用CPLD完成调制解调和编码译码的过程。开发手段是Quartus II工具软件的应用。论文安排如下:第1章:概括了调制解调器的研究背景,明确了本文的研究思路和所用方案,对本文的主要工作和文章安排进行了介绍。第2章:介绍了可编程逻辑器CPLD、可编程片上系统开发软件Qua

13、rtus II及硬件描述语言VHDL。第3章:介绍了系统的理论基础及一般原理,整个系统采用模块化设计,详细介绍M序列、调制、解调、编码、译码五个模块的设计。第4章:硬件部分,画出了整个系统的硬件原理图,显示并分析了测试到的波形。第5章:总结全文内容,提出了本课题有待于进一步深入研究的问题。第2章 可编程片上系统开发技术2.1可编程逻辑器件简介可编程逻辑器PLD(ProgrableLogicDeviees)从20世纪70年代发展到现在,己形成了许多类型的产品,其结构、工艺、集成度、速度和性能都在不断的改进和提高。PLD又可分为简单低密度PLD和复杂高密度PLD。可编程阵列逻辑器件PAL(Prog

14、ranunableArrayLogic)和通用阵列逻辑器件GAL(GenerioArrayLogic)都属于简单PLD,结构简单,设计灵活,对开发软件的要求低,但规模小,难以实现复杂的逻辑功能。随着技术的发展,简单PLD在集成度和性能方面的局限性也暴露出来。其寄存器、I/O引脚、时钟资源的数目有限,没有内部互连,因此包括复杂可编程逻辑器件CPLD(ComPlexPLD)和现场可编程门阵列器件FPLD(Field Programmable Gate Array)在内的复杂PLD迅速发展起来,并向着高密度、高速度、低功耗以及结构体系更灵活、适用范围更广阔的方向发展。CPLD具备阵列型 PLD的特点

15、,结构又类似掩膜可编程门阵列,因而具有更高的集成度和更强大的逻辑实现功能,使设计变得更加灵活和易实现。相对于CPLD,它还可以将配置数据存储在片外的EPROM或者计算机上,设计人员可以控制加载过程,在现场修改器件的逻辑功能,即所谓的现场可编程。所以CPLD得到了更普遍的应用。使用CPLD器件设计数字电路,不仅可以简化设计过程,而且可以降低整个系统的体积和成本,增加系统的可靠性。它们无需花费传统意义下制造集成电路所需大量时间和精力,避免了投资风险,成为电子器件行业中发展最快的一族。2.2可编程片上系统开发软件Altera的Quartus II开发平台,它囊括了从设计输入、综合、布局布线、仿真、时序分析、下载验证等所有设计流程,是一个完整的开发平台,能满足多种设计的需要,是SOPC设计的综合环境和SOPC开发的基本设计工具,并为 Altera DSP开发包进行系统模型设计提供了

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号