一位全减器的VHDL设计

上传人:壹****1 文档编号:487531722 上传时间:2023-10-12 格式:DOC 页数:5 大小:137KB
返回 下载 相关 举报
一位全减器的VHDL设计_第1页
第1页 / 共5页
一位全减器的VHDL设计_第2页
第2页 / 共5页
一位全减器的VHDL设计_第3页
第3页 / 共5页
一位全减器的VHDL设计_第4页
第4页 / 共5页
一位全减器的VHDL设计_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《一位全减器的VHDL设计》由会员分享,可在线阅读,更多相关《一位全减器的VHDL设计(5页珍藏版)》请在金锄头文库上搜索。

1、一位全减器的VHDL设计理工学院03电信(2)班 黄金凤 030303074一.实验目的1. 熟悉Max+Plusll和GW48EDA开发系统的使用;2. 掌握一位半减器的 VHDL设计;3. 掌握一位半减器构建一位全减器的方法;4. 元件例化语句的使用。二实验原理由两个半减器和一个或门构成一个全减器。首先,一位半减器的逻辑表达式:diff xy xy x ys_out xy输入输出xydiffs_out0000011110101100表一 半减器的真值表其次,一位全减器的逻辑表达式:diffr sub_ in diffsub_out s_out sub_ in输入输出sub_i ns_out

2、diffdiffrsub_out00000001100100101111101001101111101表二.一位全减器的真值表根据上述的真值表了解半减器和全减器,并设计出VHDL的程序。描述半减器的VHDL的程序如下:ENTITY halfsub ISPORT(A,B:IN BIT;T,C:OUT BIT);END halfsub;ARCHITECTURE halfsub_arc OF halfsub ISBEGINPROCESS(A,B)BEGINT= A XOR B AFTER 10 ns;C = (NOT A) AND B AFTER 10 ns;END PROCESS;END half

3、sub_arc;halfsubC其波形图如下:Nafn0:100.0 nsb日4uA0T0C0描述或门的VHDL程序如下:ENTITY orgate ISPORT(A1,B1:IN BIT;O1:OUT BIT);END orgate;ARCHITECTURE orgate_arc OF orgate ISBEGINO1= A1 OR B1;END orgate_arc;Al厂r jaiel01eiL-7然后设计全减器,以一些中间信号temp_T,temp_c1和temp_c2,将两个半减器,一个或门的端口连接起来形成对 全减器的结构描述。图10-5(b)所示虚线框有各元件之间的连线命名。下面

4、是全减器的VHDL程序描述:ENTITY fullsub ISPORT(I1,I2,C_IN:IN BIT;FT,C_OUT:OUT BIT);END fullsub;ARCHITECTURE fullsub_arc OF fullsub ISSIGNAL temp_T,temp_c1,temp_c2:BIT;COMPONENT halfsubPORT(A,B:IN BIT; T,C:OUT BIT);END COMPONENT;COMPONENT orgatePORT(A1,B1:IN BIT; O1:OUT BIT);END COMPONENT;BEGINU0:halfsub PORT M

5、AP(I1,I2,temp_T,temp_c1);U1:halfsub PORT MAP(temp_T,C_IN,FT,temp_c2);U2:orgate PORT MAP(temp_c1,temp_c2,C_OUT);END fullsub_arc;其波形图如下:12C INFTC IN1 1112C_OUTFTC OUTfb)图10-5全减器旳实体和全减器的结构图全减器符号 全减器的结构描述三. 实验步骤1. 打开 Max+Plusll软件,启动 File/New 菜单命令,选择 Text Editor File ,点击 OK2. 在空白文件中输入如上的实验原理描述半减器的VHDL的程序

6、,并保存。3. 在菜单 File/Save As中键入文件名halfsub.vhd ” ,点击 OK4. 启动 Max+Plusll/Compiler, 点击Start,编译结束,按“确定”。5. 再启动File/New菜单命令,选择 Text Editor File ,点击OK在空白文件中输入如上的实验原理描述或门 的VHDL的程序,并保存。6. 在菜单 File/Save As中键入文件名orgate.vhd ” ,点击OK7. 启动 Max+Plusll/Compiler, 点击Start,编译结束,按“确定”。&启动File/New菜单命令,选择 Text Editor File ,点

7、击OK在空白文件中输入如上的实验原理描述全减器 的VHDL的程序,并保存。9. 在菜单 File/Save As中键入文件名fullsub.vhd ” ,点击 OK10. 启动 Max+Plusll/Compiler, 点击Start,编译结束,按“确定”。11. 打开实验箱的电源,并把它和计算机相连。11. 启动Assign/Device,选择和实验箱相同的设备名,点击OK;12. 选用模式5,从PIOOPIO7选择3个作为输入,从PIO8PIO15中选择2个作为输出,根据实验箱配备的实 验书,选择相应的引脚号输入Assign/Pin/Location/Chip.中。13. 启动 File/

8、Project 中的 Set Project to Curre nt File,再启动 Max+Plusll/Programmer,点击 Con figure。14. 根据实验箱相应的数码管的亮暗来判断正确与否。15. 实验结束关闭计算机和实验箱的电源。四. 实验仪器计算机 GW-48 型 EDA 实验箱五. 实验总结本实验是用 VHDL 的程序来仿真 ,根据实验箱上数码管的亮暗以达到全减器的功能。在实验过程中,要特别 注意先编译再下载,要把程序的文件名改为和实体名一样的 VHD 文件才能使程序编译通过;在设置引脚时,要 根据所选的模式,并在软件的引脚输入相应的实验仪的引脚号,引脚号输入一定要正确,才可以验证实验的准确 与否;在编译程序时要下载所要运行的实验程序,所选的实验仪器名要正确,即要和所作的实验箱相同;选用模 式的不同就要用不同的引脚号。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 商业计划书

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号