用VHDL语言仿真实现LED功能

上传人:博****1 文档编号:458913374 上传时间:2022-12-11 格式:DOC 页数:12 大小:838.87KB
返回 下载 相关 举报
用VHDL语言仿真实现LED功能_第1页
第1页 / 共12页
用VHDL语言仿真实现LED功能_第2页
第2页 / 共12页
用VHDL语言仿真实现LED功能_第3页
第3页 / 共12页
用VHDL语言仿真实现LED功能_第4页
第4页 / 共12页
用VHDL语言仿真实现LED功能_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《用VHDL语言仿真实现LED功能》由会员分享,可在线阅读,更多相关《用VHDL语言仿真实现LED功能(12页珍藏版)》请在金锄头文库上搜索。

1、 点阵列LED单一字符显示系统学号:00111108 姓名:龚柏翰 点阵列LED单一字符显示电路数字系统设计报告 龚柏翰 NO.00111108摘要 在现代的信息时代,LED显示器广告牌等已经屡见不鲜。LED价格低廉,设计控制简单。本次选题研究了点阵列LED扫描式单一字符显示电路,配合一个4位的二进制计数电路,实现了基本的扫描式单一字符显示0f字符的功能。一 选题背景与意义。现在社会,LED数字显示器不足为奇,各种闪烁的霓虹灯充斥着人们的眼球。常见的LED显示器有七个显示器以及点阵列显示器,这都是属于较低层次但又最常见用于各种家电及控制器的一种输出组阵。其特点是价格低廉,控制方式简单,可直接搭

2、配单片机使用,利用程控来设置输出状态。因此不需要其他的显示控制器就可以进行数据显示。研究LED点阵列显示控制系统充满了实际意义。帮助我们理解其基本的原理,把一些基本的LED组合在同一个包装之中,经过适当安排成为二维的点阵列形态,矩阵中的每一个点对应一个独立的LED。充分理解LED的显示原理,就可以大幅度普及基本知识,降低制作成本,也可以自己动手制作简单的LED显示器,增强趣味性。二 点阵列LED显示控制系统整体设计方案。点阵列LED显示控制系统由三部分组成,时钟脉冲发生电路,4位计数器,点阵列显示控制。 时钟脉冲 发生电路点阵列显示控制 Scan_code()_clk_10m。 4位计数器 S

3、can_row()1. 时钟脉冲发生电路Clk_10m由外部震荡器提供10MHz的时钟信号;Reset 系统自动复位信号;当reset=“1”时复位,当reset=“0”时电路正常工作不复位。Test:生成的测试信号,是正常模式与测试模式选择开关(0:正常模式,1:测试模式)。时序脉冲电路确定了整个系统的工作频率为10MHz,也决定了LED显示器字符闪烁跳动频率。一般而言,只要扫描频率大于20Hz,肉眼所看到的显示效果就是LED同时点亮。通常,为了减少闪烁现象造成的眼睛的不适,扫描频率都会在50Hz到100Hz之间。2. 4位计数器。根据扫描法显示原则,为实现将十六进制数字0f的字符依次显示在

4、点阵列显示器上,特此设计的4位二进制计数器,记录0f这16个字符依次显示顺序和循环次序。3. 点阵列显示控制每个LED的两个端点P和n都分别受到列(column)控制信号与行(row)控制信号的控制。当特定LED的pn接面是顺着偏压的状况时,该LED就会点亮,因此,只要能依次将字符中每一个字形码传送至显示器的列信号输入端,同时将对应于该字形码位置的行输入端设定在低电位,其他行输出端设定为高电位,即可将该字形码显示在正确的位置上。只要不断地轮流的处理扫描,每一行的字形码即可在显示器上显示正确的字形。要能够利用上述扫描法来显示各种字符符号,就必须事先将所要提供的所有字符对应字符编写妥当,并依照一定

5、的顺序存储在字形表之中(通常使用ROM来存储),每一个8*8的字符室友8行字形码组成。因此,一个字符共需要8位来存储其字形,其中亮点对应位需要设为1,暗点对应位需要设定为0.常用的字符分为数字,英文字母以及其他特殊符号或自定义符号。利用ASCII的标准字符表建立一个完整的字符表供应显示使用。定义rom_type的数据类型位由8位unsigned数值所组成的数组Type rom_type is array (integer range)of unsigned(7 downto 0);constant font_tab: rom_type (0 to 127) :=(x7c,xc6,xce,xde

6、,xf6,xe6,x7c,x00,-0x30,x70,x30,x30,x30,x30,xfc,x00,-1x78,xcc,x0c,x38,x60,xcc,xfc,x00,-2x78,xcc,x0c,x38,x0c,xcc,x78,x00,-3x1c,x3c,x6c,xcc,xfe,x0c,x1e,x00,-4xfc,xc0,xf8,x0c,x0c,xcc,x78,x00,-5x38,x60,xc0,xf8,xcc,xcc,x78,x00,-6xfc,xcc,x0c,x18,x30,x30,x30,x00,-7x78,xcc,xcc,x78,xcc,xcc,x78,x00,-8x78,xcc,x

7、cc,x7c,x0c,x18,x70,x00,-9x30,x78,xcc,xcc,xfc,xcc,xcc,x00,-axfc,x66,x66,x7c,x66,x66,xfc,x00,-bx3c,x66,xc0,xc0,xc0,x66,x3c,x00,-cxf8,x6c,x66,x66,x66,x6c,xf8,x00,-dxfe,x62,x68,x78,x68,x62,xfe,x00,-exfe,x62,x68,x78,x68,x60,xf0,x00-f );三 系统总体功能与仿真。1. 系统总体功能:点阵列LED扫描式单一字符显示电路,配合一个4位的二进制计数电路,实现了基本的扫描式单一字符显

8、示0f字符的功能。2.系统总体硬件生成图3.系统总体仿真波形4.5. 顶层模块程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_arith.all;use IEEE.STD_LOGIC_unsigned.all;library work;use work.my_package.all;entity scan_ldig is port( reset :in std_logic; clk_10m : in std_logic; test :in std_logic; scan_code :out std_logic_vect

9、or(7 downto 0); scan_row :out std_logic_vector(7 downto 0) );END scan_ldig;architecture behavioral of scan_ldig issignal clk_1m, clk_1hz :std_logic;signal div10 :std_logic_vector(3 downto 0);signal div_1m :std_logic_vector(19 downto 0);signal scan_line :std_logic_vector(7 downto 0);signal scan_count

10、 :std_logic_vector(2 downto 0);signal idisp_code :std_logic_vector(7 downto 0);signal ifont_code :std_logic_vector(7 downto 0);signal iscan_addr :std_logic_vector(10 downto 0);component char_fontport (scan_addr :in std_logic_vector(10 downto 0); font_code :out std_logic_vector(7 downto 0);end compon

11、ent;beginclock_generator:block beginprocess(reset,clk_10m)begin if reset=1 then div10 =0000; clk_1m =0; -1mhz clock for scan controller elsif clk_10m event and clk_10m = 1 then if div10 =9 then div10=0000; else div10 = div10 + 1; end if; if div10 =4 then clk_1m = not clk_1m ; end if; end if;end proc

12、ess;process (reset,clk_1m) begin if reset =1 then div_1m = x00000; clk_1hz = 0; elsif clk_1m event and clk_1m =1 then if test =0 then if div_1m(19)=1 then div_1m =x00000; clk_1hz = not clk_1hz; else div_1m = div_1m +1; end if; else if div_1m(3) =1 then div_1m = x00000; clk_1hz = not clk_1hz; else div_1m = div_1m +1; end if; end if; end if;end process;end block;hex_counter :block begin process(reset,clk_1

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 幼儿/小学教育 > 小学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号